Part Number Hot Search : 
BFI00 BC171 4PH80 BFI00 CY7C14 MAX1969 TC0429A ON0214
Product Description
Full Text Search
 

To Download XQ2VP70 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  ds136 (v2.1) july 25, 2011 www.xilinx.com product specification 1 ? 2006?2011 xilinx, inc. all rights reserved. xilinx, the xilinx logo, the brand window, and other designated brands included h erein are trademarks of xilinx, inc. all other trademarks are the property of their respective owners. product not recommen ded for new designs c module 1: ? introduction and overview ds136-1 (v2.1) july 25, 2011 8 pages ? "summary of qpro virtex-ii pro features" ? "general description" ? "architecture" ? "ip core and reference support" ? "qpro virtex-ii pro device/package combinations and maximum i/os" ? "maximum performance" ? "qpro virtex-ii pro ordering information" ? "valid ordering examples" module 2: ? functional description ds136-2 (v2.1) july 25, 2011 43 pages ? "qpro virtex-ii pro array functional description" ? "functional description: processor block" ? "functional description: embedded powerpc 405 core" ? "functional description: fpga" ? "routing" ? "configuration" module 3: ? dc and switching characteristics ds136-3 (v2.1) july 25, 2011 36 pages ? "qpro virtex-ii pro electrical characteristics" ? "qpro virtex-ii pro switching characteristics" ? "qpro virtex-ii pro pin-to-pin output parameter guidelines" ? "qpro virtex-ii pro pin-to-pin input parameter guidelines" ? "dcm timing parameters" ? "source-synchronous switching characteristics" module 4: ? pinout information ds136-4 (v2.1) july 25, 2011 94 pages ? "qpro virtex-ii pro device/package combinations and maximum i/os" ? "qpro virtex-ii pro pin definitions" ? "fg676 fine-pitch bga package" ? "ef1152, and ff1152 flip-chip fine-pitch bga packages" ? "ef1704, and ff1704 flip-chip fine-pitch bga packages" important note: page, figure, and table numbers begin at 1 for each module, and each module has its own revision history at the end. use the pdf bookmarks pane for easy navigation in this volume. 2 qpro virtex-ii pro 1.5v platform fpgas complete data sheet ds136 (v2.1) july 25, 2011 0 product specification r
ds136 (v2.1) july 25, 2011 www.xilinx.com product specification 2 r product not recommen ded for new designs
ds136-1 (v2.1) july 25, 2011 www.xilinx.com module 1 of 4 product specification 1 ? 2006?2011 xilinx, inc. all rights reserved. xilinx, the xilinx logo, the brand window, and other designated brands included h erein are trademarks of xilinx, inc. all other trademarks are the property of their respective owners. product not recommen ded for new designs summary of qpro virtex-ii pro features ? guaranteed over the full m ilitary temperature range (?55 o c to +125 o c) or full industrial temperature range (?40 o c to +100 o c) ? high-performance platform fpga solution, including two ibm powerpc? risc processor blocks ? based on virtex?-ii platform fpga technology ? flexible logic resources ? cmos latch-based in-s ystem configuration ? active interconnect technology ? selectram?+ memory hierarchy ? dedicated 18-bit x 18-bit multiplier blocks ? high-performance clock management circuitry ? selecti/o?-ultra technology ? xcite digitally controlle d impedance (dci) i/o qpro virtex-ii pro family members and resources are shown in ta b l e 1 . rocketio transceiver features ? rocketio? transceivers are not supported in qpro virtex-ii pro fpgas. powerpc risc processor block features ? embedded harvard architecture block ? low power consumption: 0.9 mw/mhz ? five-stage data path pipeline ? hardware multiply/divide unit ? thirty-two 32-bit general purpose registers ? 16 kb two-way set-associative instruction cache ? 16 kb two-way set-associative data cache ? memory management unit (mmu) ? 64-entry unified translation look-aside buffers (tlb) ? variable page sizes (1 kb to 16 mb) ? dedicated on-chip memory (ocm) interface ? supports ibm coreconnect? bus architecture ? debug and trace support ? timer facilities 8 qpro virtex-ii pro 1.5v platform fpgas introduction and overview ds136-1 (v2.1) july 25, 2011 0 product specification r ta bl e 1 : qpro virtex-ii pro fpga family members device rocketio transceiver blocks (1) powerpc processor blocks logic cells (2) clb ( 1 = 4 slices = max 128 bits ) 18 x 18 bit multiplier blocks block selectram+ dcms maximum user i/o pads slices max distr ram (kb) 18 kb blocks max block ram (kb) xq2vp40 8, or 12 2 43,632 19,392 606 192 192 3,456 8 692 XQ2VP70 20 2 74,448 33,088 1,034 328 328 5,904 8 996 notes: 1. rocketio? multi-gigabit transceivers (mgts) ar e not supported in qpro virtex-ii pro fpgas. 2. logic cell ? (1) 4-input lut + (1)ff + carry logic
introduction and overview ds136-1 (v2.1) july 25, 2011 www.xilinx.com module 1 of 4 product specification 2 r product not recommen ded for new designs qpro virtex-ii pro platform fpga technology ? selectram+ memory hierarchy ? up to 6 mb of true dual-port ram in 18 kb block selectram+ resources ? up to 1,034 kb of distributed selectram+ resources ? high-performance interfaces to external memory ? arithmetic functions ? dedicated 18-bit x 18 -bit multiplier blocks ? fast look-ahead carry logic chains ? flexible logic resources ? up to 66,176 internal regi sters/ latches with clock enable ? up to 66,176 look-up tables (luts) or cascadable variable (1 to 16 bits) shift registers ? wide multiplexers and wide-input function support ? horizontal cascade chain and sum-of-products support ? internal three-state busing ? high-performance clock management circuitry ? eight digital clock ma nager (dcm) modules - precise clock deskew - flexible frequency synthesis - high-resolution phase shifting ? 16 global clock multiplexer buffers in all parts ? active interconnect technology ? fourth-generation segmented routing structure ? fast, predictable routing delay, independent of fanout ? deep sub-micron noise immunity benefits ? selectio?-ultra technology ? up to 996 user i/os ? twenty-two single-ended standards and ten differential standards ? programmable lvcmos sink/source current (2 ma to 24 ma) per i/o ? xcite digitally controlle d impedance (dci) i/o ? pci / pci-x support (refer to xapp653 , 3.3v pci design guidelines , for more information) ? differential signaling - 512 mb/s low-voltage differential signaling i/o (lvds) with current mode drivers - on-chip differential termination - bus lvds i/o - hypertransport (ldt) i/o with current driver buffers - built-in ddr input and output registers ? proprietary high-performance selectlink technology for communi cations between xilinx devices - high-bandwidth data path - double data rate (ddr) link - web-based hdl generation methodology ? cmos latch-based in-system configuration ? fast selectmap? configuration ? triple data encryption standard (des) security option (bitstream encryption) ? ieee 1532 support ? partial reconfiguration ? unlimited reprogrammability ? readback capability ? supported by xilinx integrated software environment (ise?) software ? integrated vhdl and verilog design flows ? chipscope? integrated logic analyzer ? 0.13 m nine-layer copper process with 90 nm high- speed transistors ? 1.5v (v ccint ) core power supply, dedicated 2.5v v ccaux auxiliary and v cco i/o power supplies ? ieee 1149.1 compatible boundary-scan logic support ? flip-chip and wire-bond ball grid array (bga) packages in standard 1.00 mm pitch. general description qpro virtex-ii pro platform fpgas are well-suited for designs based on ip cores and customized modules. the family incorporates the powerpc cpu blocks in the virtex-ii pro architecture. this family of fpgas empowers complete solutions for te lecommunication, wireless, networking, video, and dsp applications. the virtex-ii pro architecture and leading-edge 0.13 m cmos nine-layer copper process are optimized for high performance designs in a wide range of densities. combining a wide variety of flexible features and ip cores, the qpro virtex-ii pro family enhances programmable logic design capabilities and is a po werful alternative to mask- programmed gate arrays.
introduction and overview ds136-1 (v2.1) july 25, 2011 www.xilinx.com module 1 of 4 product specification 3 r product not recommen ded for new designs architecture array overview qpro virtex-ii pro devices are user-programmable gate arrays with various configurable elements and embedded blocks optimized for high-density and high-performance system designs. qpro virtex-ii pro devices implement the following functionality: ? embedded ibm powerpc 405 risc processor blocks. ? selectio-ultra blocks provide the interface between package pins and the internal configurable logic. most popular and leading-edge i/o standards are supported by the programmable iobs. ? configurable logic blocks (clbs) provide functional elements for combinatorial and synchronous logic, including basic storage elements. bufts (three-state buffers) associated with each clb element drive dedicated segmentable horizontal routing resources. ? block selectram+ memory modules provide large 18 kb storage elements of true dual-port ram. ? embedded multiplier blocks are 18-bit x 18-bit dedicated multipliers. ? digital clock manager (dcm) blocks provide self- calibrating, fully digital solutions for clock distribution delay compensation, clock multiplication and division, and coarse- and fine-grained clock phase shifting. a new generation of programmable routing resources called active interconnect technology interconnects all these elements. the general routing matrix (grm) is an array of routing switches. each programmable element is tied to a switch matrix, allowing multiple connections to the general routing matrix. the overall programmable interconnection is hierarchical and supports high-speed designs. all programmable elements, including the routing resources, are controlled by values stored in static memory cells. these values are loaded in the memory cells during configuration and can be reloaded to change the functions of the programmable elements. features this section briefly describes qpro virtex-ii pro features. for more details, refer to "functional description" (module 2) . rocketio mgt cores rocketio? transceivers are not supported in qpro virtex-ii pro fpgas. powerpc 405 processor block the ppc405 risc cpu can execute instructions at a sustained rate of one instruction per cycle. on-chip instruction and data cache reduce design complexity and improve system throughput. the ppc405 features include: ? powerpc risc cpu ? implements the powerpc user instruction set architecture (uisa) and extensions for embedded applications ? thirty-two 32-bit general purpose registers (gprs) ? static branch prediction ? five-stage pipeline with single-cycle execution of most instructions, including loads/stores ? unaligned and aligned load/store support to cache, main memory, and on-chip memory ? hardware multiply/divid e for faster integer arithmetic (4-cycle multiply, 35-cycle divide) ? enhanced string and multiple-word handling ? big/little endian operation support ? storage control ? separate instruction and data cache units, both two-way set-associative and non-blocking ? eight words (32 bytes) per cache line ? 16 kb array instruction cache unit (icu), 16 kb array data cache unit (dcu) ? operand forwarding during instruction cache line fill ? copy-back or write-through dcu strategy ? doubleword instruction fetch from cache improves branch latency ? virtual mode memory management unit (mmu) ? translation of the 4 gb logical address space into physical addresses ? software control of page replacement strategy ? supports multiple simultaneous page sizes ranging from 1 kb to 16 mb ? ocm controllers provide dedicated interfaces between block selectram+ memory and processor block instruction and data paths for high-speed access ? powerpc timer facilities ? 64-bit time base ? programmable interval timer (pit) ? fixed interval timer (fit) ? watchdog timer (wdt) ? debug support ? internal debug mode ? external debug mode ? debug wait mode ? real time trace debug mode
introduction and overview ds136-1 (v2.1) july 25, 2011 www.xilinx.com module 1 of 4 product specification 4 r product not recommen ded for new designs ? enhanced debug support with logical operators ? instruction trace and trace-back support ? forward or backward trace ? two hardware interrupt levels support ? advanced power management support input/output blocks (iobs) iobs are programmable and can be categorized as follows: ? input block with an optional single data rate (sdr) or double data rate (ddr) register ? output block with an optional sdr or ddr register and an optional three-state buffer to be driven directly or through an sdr or ddr register ? bidirectional block (any combination of input and output configurations) these registers are either edge-triggered d-type flip-flops or level-sensitive latches. iobs support the following single-ended i/o standards: ? lv t t l , lv c m o s ( 3 . 3 v, (1) 2.5v, 1.8v, and 1.5v) ? pci-x compatible (133 mhz and 66 mhz) at 3.3v (2) ? pci compliant (66 mhz and 33 mhz) at 3.3v (2) ? gtl and gtlp ? hstl (1.5v and 1.8v, class i, ii, iii, and iv) ? sstl (1.8v and 2.5v, class i and ii) the dci i/o feature automatically provides on-chip termination for each single-ended i/o standard. the iob elements also support the following differential signaling i/o standards: ? lvds and extended lvds (2.5v) ? blvds (bus lvds) ? ulvds ? ldt ? lvpecl (2.5v) two adjacent pads are used for each differential pair. two or four iobs connect to one switch matrix to access the routing resources. on-chip differential termination is available for lv d s, lv d s extended, ulvds, and ldt standards. configurable logic blocks (clbs) clb resources include four slices and two three-state buffers. each slice is equivalent and contains: ? two function generators (f & g) ? two storage elements ? arithmetic logic gates ? large multiplexers ? wide function capability ? fast carry look-ahead chain ? horizontal cascade chain (or gate) the function generators f & g are configurable as 4-input look-up tables (luts), as 16-bit shift registers, or as 16-bit distributed selectram+ memory. in addition, the two storage elements are either edge- triggered d-type flip-flops or level-sensitive latches. each clb has internal fast interconnect and connects to a switch matrix to access general routing resources. block selectram+ memory the block selectram+ memory resources are 18 kb of true dual-port ram, programmable from 16k x 1 bit to 512 x 36 bit, in various depth and width configurations. each port is totally synchronous and independent, offering three "read- during-write" modes. block selectram+ memory is cascadable to implement large embedded storage blocks. supported memory configurations for dual-port and single- port modes are shown in ta bl e 2 . 18 x 18 bit multipliers a multiplier block is associated with each selectram+ memory block. the multiplier block is a dedicated 18 x 18- bit 2s complement signed multiplier, and is optimized for operations based on the block selectram+ content on one port. the 18 x 18 multiplier can be used independently of the block selectram+ resource. read/multiply/accumulate operations and dsp filter structures are extremely efficient. both the selectram+ memory and the multiplier resource are connected to four switch matrices to access the general routing resources. global clocking the dcm and global clock multiplexer buffers provide a complete solution for designing high-speed clock schemes. up to twelve dcm blocks are available. to generate deskewed internal or external clocks, each dcm can be used to eliminate clock distribution delay. the dcm also provides 90-, 180-, and 270-degree phase-shifted versions of its output clocks. fine-grained phase shifting offers high- resolution phase adjustments in increments of 1 / 256 of the clock period. very flexible frequency synthesis provides a 1. refer to xapp659 , virtex-ii pro / virtex-ii pro x 3.3v i/o design guidelines, for more information. 2. refer to 3.3v pci design guidelines or more information. ta b l e 2 : dual-port and single-port configurations 16k x 1 bit 4k x 4 bits 1k x 18 bits 8k x 2 bits 2k x 9 bits 512 x 36 bits
introduction and overview ds136-1 (v2.1) july 25, 2011 www.xilinx.com module 1 of 4 product specification 5 r product not recommen ded for new designs clock output frequency equal to a fractional or integer multiple of the input clock frequency. for exact timing parameters, see "dc and switching characteristics" (module 3) . qpro virtex-ii pro devices have 16 global clock mux buffers, with up to eight clock nets per quadrant. each clock mux buffer can select one of the two clock inputs and switch glitch-free from one clock to the other. each dcm can send up to four of its clock outputs to global clock buffers on the same edge. any global clock pin can drive any dcm on the same edge. routing resources the iob, clb, block selectram+, multiplier, and dcm elements all use the same interconnect scheme and the same access to the global routing matrix. timing models are shared, greatly improvi ng the predictability of the performance of high-speed designs. there are a total of 16 global clock lines, with eight available per quadrant. in addition, 24 vertical and horizontal long lines per row or column, as well as massive secondary and local routing resources, pr ovide fast interconnect. qpro virtex-ii pro buffered interconnects are relatively unaffected by net fanout, and the interconnect layout is designed to minimize crosstalk. horizontal and vertical routing resources for each row or column include: ? 24 long lines ? 120 hex lines ? 40 double lines ? 16 direct connect lines (total in all four directions) boundary-scan boundary-scan instructions and associated data registers support a standard methodology for accessing and configuring qpro virtex-ii pro devices, complying with ieee standards 1149.1 and 1532. a system mode and a test mode are implemented. in system mode, a qpro virtex-ii pro device continues to function while executing non-test boundary-scan instructions. in test mode, boundary-scan test instructions control the i/o pins for testing purposes. the qpro virtex-ii pro test access port (tap) supports bypass, preload, sample, idcode, and usercode non-test instructions. the extest, intest, and highz test instructions are also supported. configuration qpro virtex-ii pro devices are configured by loading the bitstream into internal configuration memory using one of the following modes: ? slave-serial mode ? master-serial mode ? slave selectmap mode ? master selectmap mode ? boundary-scan mode (ieee 1532) a data encryption standard (des) decryptor is available on-chip to secure the bitstreams. one or two triple-des key sets can be used to optionally encrypt the configuration data. readback and integrated logic analyzer configuration data stored in qpro virtex-ii pro configuration memory can be read back for verification. along with the configuration data, the contents of all flip- flops and latches, distributed selectram+, and block selectram+ memory resources can be read back. this capability is useful for real-time debugging. the xilinx chipscope integrated logic analyzer (ila) cores and integrated bus analyzer (iba) cores, along with the chipscope pro analyzer software, provide a complete solution for accessing and verifying user designs within qpro virtex-ii pro devices. ip core and reference support intellectual property is part of the platform fpga solution. in addition to existing fpga fabric cores, the next subsections show some of the currently available hardware and software intellectual propert ies specially developed for qpro virtex-ii pro devices by xilinx. each ip core is modular, portable, real-time operating system (rtos) independent, and coreconnect compatible for ease of design migration. refer to www.xilinx.com/ipcenter for the latest and most comp lete list of cores. hardware cores ? bus infrastructure cores (arbiters, bridges, and more) ? memory cores (ddr, flash, and more) ? peripheral cores (uart, iic, and more) ? networking cores (atm, ethernet, and more) software cores ? boot code ? test code ? device drivers ? protocol stacks ? rtos integration ? customized board support package
introduction and overview ds136-1 (v2.1) july 25, 2011 www.xilinx.com module 1 of 4 product specification 6 r product not recommen ded for new designs qpro virtex-ii pro device/package combinations and maximum i/os offerings include ball grid array (bga) packages with 1.0 mm pitch. in addition to traditional wire-bond interconnect (fg packages), flip-chip interconnect (ff packages) is used in some of the bga offerings. flip-chip interconnect construction supports more i/os than are possible in wire-bond vers ions of similar packages, providing a high pin count and excellent power dissipation. the device/package combination table ( ta b l e 3 ) details the maximum number of user i/os for each device and package using wire-bond or flip-chip technology. the i/os per package count includes all user i/os except the 15 control pins (cclk, done, m0, m1, m2, prog_b, pwrdwn_b, tck, tdi, tdo, tms, hswap_en, dxn, dxp, and rsvd), vbatt, and the rocketio transceiver pins. maximum performance maximum performance of the powerpc processor block varies, depending on package style and speed grade. see ta bl e 4 for details. "dc and switching characteristics" (module 3) contains the rest of the fpga fabric performance parameters. ta b l e 3 : qpro virtex-ii pro device/package combinations and maximum number of available i/os package fg676 ff1152 ff1704/ ef1704 pitch (mm) 1.00 1.00 1.00 size (mm) 26 x 26 35 x 35 42.5 x 42.5 xq2vp40 416 692 ? XQ2VP70 ? ? 996 ta bl e 4 : maximum processor block performance device speed grade units -6 -5 powerpc processor block 350 (1) 300 mhz notes: 1. important! when cpmc405clock runs at speeds greater than 350 mhz in -7 commercial grade dual-processor devices, or greater than 300 mhz in -6 industrial grade dual-processor devices, users must implement the technology presented in xapp755 , powerpc 405 clock macro for -7(c) and -6(i) speed grade dual-processor devices. refer to ta bl e 1 to identify dual- processor devices.
introduction and overview ds136-1 (v2.1) july 25, 2011 www.xilinx.com module 1 of 4 product specification 7 r product not recommen ded for new designs qpro virtex-ii pro ordering information qpro virtex-ii pro ordering examples are shown in figure 1 (flip-chip package) and figure 2 (wire-bond package). valid ordering examples x-ref target - figure 1 figure 1: qpro virtex-ii pro ordering example, flip-chip package x-ref target - figure 2 figure 2: qpro virtex-ii pro ordering example, wire-bond package example: XQ2VP70 -5 ff 1704 n device type temper a t u re r a nge / gr a de: i = ind us tri a l pl as tic (t j = ?40c to +100c) n = milit a ry pl as tic (t j = ?55c to +125c) n u m b er of pin s p a ck a ge type ff = flip-chip p a ck a ge ef = flip-chip p a ck a ge with epoxy-co a ted chip c a p a citor s s peed gr a de (-5, -6) d s 1 3 6_01_112007 example: xq2vp40 -5 fg 676 n device type temper a t u re r a nge / gr a de: n = milit a ry pl as tic (t j = ?55c to +125c) n u m b er of pin s p a ck a ge type fg = fine-pitch bga p a ck a ge s peed gr a de (-5) d s 1 3 6_02_112107 n grade i grade xq2vp40-5fg676n XQ2VP70-6ef1704i xq2vp40-5ff1152n XQ2VP70-5ff1704n notes: 1. -5 and -6 are the only speed grades offered for qpro virtex_ii pro devices.
introduction and overview ds136-1 (v2.1) july 25, 2011 www.xilinx.com module 1 of 4 product specification 8 r product not recommen ded for new designs revision history this section records the change history for this module of the data sheet. qpro virtex-ii pro data sheet the qpro virtex-ii pro data sheet contains the following modules: ? "introduction and overview" (module 1) ? "functional descrip tion" (module 2) ? "dc and switching characteristics" (module 3) ? "pinout information" (module 4) notice of disclaimer the xilinx hardware fpga and cpld devices referred to herein (?products?) are subject to the terms and conditions of the xilinx limite d warranty which can be viewed at http://www.xilinx.com/warranty.htm . this limited warranty does not extend to any use of products in an application or environment that is not within the specifications stated in the xilinx data sheet. all specifications are subject to change without notice. products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance, such as life-support or safety devic es or systems, or any other application that invokes the potential risks of death, personal injury, or property or environmental damage (?critical applications?). use of products in critical applications is at the sole risk of customer, subject to applicable laws and regulations. date version revision 11/29/06 1.0 initial xilinx release. 12/20/07 2.0 ? change data sheet title. ? added support for XQ2VP70-6ef1704i. ? removed support for xqv2p70-6mf1704i. ? updated document template. ? updated urls. 07/25/11 2.1 added product not recommended for new designs banner.
ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 1 ? 2006?2011 xilinx, inc. all rights reserved. xilinx, the xilinx logo, the brand window, and other designated brands included h erein are trademarks of xilinx, inc. all other trademarks are the property of their respective owners. product not recommen ded for new designs qpro virtex-ii pro array functional description this module describes the following qpro virtex-ii pro functional components, as shown in figure 1 : ? processor blocks with embedded ibm powerpc? 405 risc cpu core (ppc405) and integration circuitry. ? fpga fabric based on virtex-ii architecture. virtex-ii pro user guides virtex-ii pro user guides cover theory of operation in more detail, and include implementation details, primitives and attributes, command/instruction sets, and many hdl code examples where appropriate. all parameter specifications are given only in "dc and switching characteristics" (module 3) of this data sheet. the following user guides are available: ? for detailed descriptions of ppc405 embedded core programming models and internal core operations, see powerpc processor reference guide and ug018 , powerpc 405 processor block reference guide. ? for detailed descriptions of the fpga fabric (clb, iob, dcm, etc.), see ug012 , virtex-ii pro platform fpga user guide. all of the documents above, as well as a complete listing and description of xilinx-developed intellectual property cores for virtex-ii pro, are available on the xilinx website. contents of this module ? "functional description: processor block" ? "functional description: embedded powerpc 405 core" ? "functional description: fpga" ? "revision history" virtex-ii pro compared to virtex-ii devices virtex-ii pro devices are built on the virtex-ii fpga architecture. most fpga features are identical to virtex-ii devices. major differences are described below: ? the virtex-ii pro fpga family is the first to incorporate embedded ppc405 and rocketio cores. ? v ccaux , the auxiliary supply volt age, is 2.5v instead of 3.3v as for virtex-ii devices. advanced processing at 0.13 ? m has resulted in a smaller die, faster speed, and lower power consumption. ? virtex-ii pro devices are neither bitstream-compatible nor pin-compatible with virtex-ii devices. however, virtex-ii designs can be compiled into virtex-ii pro devices. ? on-chip input lvds differential termination is available. ? sstl3, agp-2x/agp, lvpecl_33, lvds_33, and lvdsext_33 standards are not supported. ? the open-drain output pin tdo does not have an internal pull-up resistor. 4 3 qpro virtex-ii pro 1.5v platform fpgas: functional description ds136-2 (v2.1) july 25, 2011 product specification r x-ref target - figure 1 figure 1: virtex-ii pro generic architecture overview clb m u ltiplier s a nd block s electram proce ss or block config u r ab le logic s electio-ultr a d s 1 3 6_ch2_01_111006 dcm clb clb clb
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 2 r product not recommen ded for new designs functional description: processor block this section briefly describes the interfaces and components of the processor block. the subsequent section, "functional description: embedded powerpc 405 core," page 5 offers a summary of major ppc405 core features. for an in-depth discussion on both the processor block and ppc405, see the powerpc processor reference guide and the powerpc 405 processor block reference guide available on the xilinx website at http://www.xilinx.com . processor block overview figure 2 shows the internal architecture of the processor block. within the virtex-ii pro processor block, there are four components: ? embedded ibm powerpc 405-d5 risc cpu core ? on-chip memory (ocm) controllers and interfaces ? clock/control interface logic ? cpu-fpga interfaces embedded powerpc 405 risc core the powerpc 405d5 core is a 0.13 m implementation of the ibm powerpc 405d4 core. the advanced process technology enables the embedded powerpc 405 (ppc405) core to operate at 300+ mhz while maintaining low power consumption. specially designed interface logic integrates the core with the surrounding clbs, block rams, and general routing resources. up to four processor blocks can be available in a single virtex-ii pro device. the embedded ppc405 core implements the powerpc user instruction set architecture (uisa), user-level registers, programming model, data types, and addressing modes for 32-bit fixed-point operations. 64-bit operations, auxiliary processor operat ions, and floating-point operations are trapped and can be emulated in software. most of the ppc405 core features are compatible with the specifications for the powerpc virtual environment architecture (vea) and operat ing environment architecture (oea). they also provide a number of optimizations and extensions to the lower layers of the powerpc architecture. the full architecture of the ppc405 is defined by the powerpc embedded environment and powerpc uisa documentation, available from ibm. on-chip memory (ocm) controllers introduction the ocm controllers serve as dedicated interfaces between the block rams in the fpga fabric (see "18 kb block selectram+ resources," page 29 ) and ocm signals available on the embedded ppc405 core. the ocm signals on the ppc405 core are designed to provide very quick access to a fixed amount of instruction and data memory space. the ocm controller provides an interface to both the 64-bit instruction-side block ram (isbram) and the 32-bit data-side block ram (dsbram). the designer can choose to implement: ? isbram only ? dsbram only ? both isbram and dsbram ? no isbram and no dsbram one of ocm?s primary advantages is that it guarantees a fixed latency of execution for a higher level of determinism. additionally, it reduces cache pollution and thrashing, since the cache remains available for caching code from other memory resources. typical applications for dsocm include scratch-pad memory, as well as use of the dual-port feature of block ram to enable bidirectional data transfer between processor and fpga. typical applications for isocm include storage of interrupt service routines. x-ref target - figure 2 figure 2: processor block architecture processor block = cpu core + interface logic + cpu-fpga interface ds083-2_03a_060701 ppc 405 core ocm controller ocm controller control bram bram bram bram fpga clb array interface logic cpu-fpga interfaces
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 3 r product not recommen ded for new designs functional features common features ? separate instruction and data memory interface between processor core and brams in fpga ? dedicated interface to device control register (dcr) bus for isocm and dsocm ? single-cycle and multi-cycle mode option for i-side and d-side interfaces ? single cycle = one cpu clock cycle; ? multi-cycle = minimum of two and maximum of eight cpu clock cycles ? fpga configurable dcr addresses within dsocm and isocm. ? independent 16 mb logical memory space available within ppc405 memory map for each of the dsocm and isocm. the number of block rams in the device might limit the maximum amount of ocm supported. ? maximum of 64k and 128k bytes addressable from dsocm and isocm interfaces, respectively, using address outputs from ocm directly without additional decoding logic. data-side ocm (dsocm) ? 32-bit data read bus a nd 32-bit data write bus ? byte write access to dsbram support ? second port of dual port dsbram is available to read/write from an fpga interface ? 22-bit address to dsbram port ? 8-bit dcr registers: dscntl, dsarc ? three alternatives to write into dsbram: bram initialization, cpu, fpga h/w using second port instruction-side ocm (isocm) the isocm interface contains a 64-bit read only port, for instruction fetches, and a 32-bit write only port, to initialize or test the isbram. when implementing the read only port, the user must deassert the write port inputs. the preferred method of initializing t he isbram is through the configuration bitstream. ? 64-bit data read only bus (two instructions per cycle) ? 32-bit data write only bus (through dcr) ? separate 21-bit address to isbram ? 8-bit dcr registers: iscntl, isarc ? 32-bit dcr registers: isinit, isfill ? two alternatives to write into isbram: bram initialization, dcr and write instruction clock/control interface logic the clock/control interface logic provides proper initialization and connections for ppc405 clock/power management, resets, plb cycle control, and ocm interfaces. it also couples user signals between the fpga fabric and the embedded ppc405 cpu core. the processor clock connectivity is similar to clb clock pins. it can connect either to global clock nets or general routing resources. therefore the processor clock source can come from dcm, clb, or user package pin. cpu-fpga interfaces all processor block user pins link up with the general fpga routing resources through the cpu-fpga interface. therefore processor signals have the same routability as other non- processor block user signals. longlines and hex lines travel across the processor block both vertically and horizontally, allowing signals to route through the processor block. processor local bus (plb) interfaces the ppc405 core accesses high-speed system resources through plb interfaces on the instruction and data cache controllers. the plb interfaces provide separate 32-bit address/64-bit data buses for the instruction and data sides. the cache controllers are both plb masters. plb arbiters are implemented in the fpga fabric and are available as soft ip cores. device control register (dcr) bus interface the device control register (dcr) bus has 10 bits of address space for components external to the ppc405 core. using the dcr bus to manage status and configuration registers reduces plb traffic and improves system integrity. system resources on the dcr bus are protected or isolated from wayward code since the dcr bus is not part of the system memory map. external interrupt controller (eic) interface two level-sensitive user interrupt pins (critical and non- critical) are available. they can be either driven by user defined logic or xilinx soft interrupt contro ller ip core outside the processor block. clock/power management (cpm) interface the cpm interface supports several methods of clock distribution and power management. three modes of operation that reduce power consumption below the normal operational level are available. reset interface there are three user reset input pins (core, chip, and system) and three user reset output pins for different levels of reset, if required.
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 4 r product not recommen ded for new designs debug interface debugging interfaces on the embedded ppc405 core, consisting of the jtag and trace ports, offer access to resources internal to the co re and assist in software development. the jtag port provides basic jtag chip testing functionality as well as the ability for external debug tools to gain control of the processor for debug purposes. the trace port furnishes programmers with a mechanism for acquiring instruction execution traces. the jtag port complies with ieee std 1149.1, which defines a test access port (tap) and boundary-scan architecture. extensions to the jtag interface provide debuggers with processor control that includes stopping, starting, and stepping the ppc405 core. these extensions are compliant with the ieee 1149.1 specifications for vendor-specific extensions. the trace port provides instruction execution trace information to an external trace tool. the ppc405 core is capable of back trace and forward trace. back trace is the tracing of instructions prior to a debug event while forward trace is the tracing of instructions after a debug event. the processor jtag port and the fpga jtag port can be accessed independently, or the two can be programmatically linked together and accessed via the dedicated fpga jtag pins. for detailed information on the ppc405 jtag interface, please refer to the "jtag interface" section of the powerpc 405 processor block reference guide coreconnect? bus architecture the processor block is compatible with the coreconnect? bus architecture. any core connect compliant cores including xilinx soft ip can in tegrate with the processor block through this high-performance bus architecture implemented on fpga fabric. the coreconnect architecture provides three buses for interconnecting proces sor blocks, xilinx soft ip, third party ip, and custom logic, as shown in figure 3 : ? processor local bus (plb) ? on-chip peripheral bus (opb) ? device control register (dcr) bus high-performance peripherals connect to the high- bandwidth, low-latency plb. slower peripheral cores connect to the opb, which reduces traffic on the plb, resulting in greater ov erall system performance. for more information, refer to: http://www- 3.ibm.com/chips/te chlib/techlib.nfs/p roductfamilies/cor econnect_bus_architecture/ x-ref target - figure 3 figure 3: coreconnect block diagram ds083-2_02a_010202 system core system core system core processor block peripheral core peripheral core processor local bus on-chip peripheral bus bus bridge coreconnect bus architecture arbiter arbiter dcr bus instruction data dcr bus dcr bus
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 5 r product not recommen ded for new designs functional description: embedded powerpc 405 core this section offers a brief overview of the various functional blocks shown in figure 4 . embedded ppc405 core the embedded ppc405 core is a 32-bit harvard architecture processor. figure 4 illustrates its functional blocks: ? cache units ? memory management unit ? fetch decode unit ? execution unit ? timers ? debug logic unit it operates on instructions in a five stage pipeline consisting of a fetch, decode, execute, write-back, and load write-back stage. most instructions execut e in a single cycle, including loads and stores. instruction and data cache the embedded ppc405 core provides an instruction cache unit (icu) and a data cache unit (dcu) that allow concurrent accesses and minimize pipeline stalls. the instruction and data cache array are 16 kb each. both cache units are two- way set associative. each way is organized into 256 lines of 32 bytes (eight words). the instruction set provides a rich assortment of cache control instructions, including instructions to read tag information and data arrays. the ppc405 core accesses external memory through the instruction (icu) and data cache units (dcu). the cache units each include a 64-bit plb master interface, cache arrays, and a cache controller. the icu and dcu handle cache misses as requests over the plb to another plb device such as an external bus interface unit. cache hits are handled as single cycle memory accesses to the instruction and data caches. instruction cache unit (icu) the icu provides one or two instructions per cycle to the instruction queue over a 64-bit bus. a line buffer (built into the output of the array for manufacturing test) enables the icu to be accessed only once for every four instructions, to reduce power consumption by the array. the icu can forward any or all of the four or eight words of a line fill to the exu to minimize pipeline stalls caused by cache misses. the icu aborts speculative fetches abandoned by the exu, eliminat ing unnecessary line fills and enabling the icu to handle the next exu fetch. aborting abandoned requests also eliminates unnecessary external bus activity, thereby increasing external bus utilization. data cache unit (dcu) the dcu transfers one, two, three, four, or eight bytes per cycle, depending on the number of byte enables presented by the cpu. the dcu contains a single-element command and store data queue to reduce pipeline stalls; this queue enables the dcu to independently process load/store and cache control instructi ons. dynamic plb request prioritization reduces pipeline stalls even further. when the x-ref target - figure 4 figure 4: embedded ppc405 core block diagram mac alu ds083-2_01_062001 plb master interface data ocm jtag instruction trace d-cache controller d-cache array i-cache controller i-cache array data cache unit instruction cache unit 32 x 32 gpr execution unit (exu) plb master interface instruction ocm instruction shadow tlb (4 entry) unified tlb (64 entry) data shadow tlb (8 entry) fetch and decode logic 3-element fetch queue (pfb1, pfb0, dcd) timers (fit, pit, watchdog) debug logic timers & debug fetch & decode mmu cache units execution unit
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 6 r product not recommen ded for new designs dcu is busy with a low-priority request while a subsequent storage operation requested by the cpu is stalled; the dcu automatically increases the priority of the current request to the plb. the dcu provides additional features that allow the programmer to tailor its performance for a given application. the dcu can function in write- back or write-through mode, as controlled by the data cache write-through register (dcwr) or the translation look-aside buffer (tlb); the cache controller can be tuned for a balance of performance and memory coherency. write-on-allocate, controlled by the store word on allocate (swoa) field of the core configuration register 0 (ccr0) , can inhibit line fills caused by store misses, to further reduce potential pipeline stalls and unwanted external bus traffic. fetch and decode logic the fetch/decode logic maintains a steady flow of instructions to the execution unit by placing up to two instructions in the fetch queue. the fetch queue consists of three buffers: pre- fetch buffer 1 (pfb1), pre-fetch buffer 0 (pfb0), and decode (dcd). the fetch logic ensures that instructions proceed directly to decode when the queue is empty. static branch prediction as implemented on the ppc405 core takes advantage of some standard statistical properties of code. branches with negative address displacement are by default assumed taken. branches that do not test the condition or count registers are also predicted as taken. the ppc405 core bases branch prediction upon these default conditions when a branch is not resolved and speculatively fetches along the predicted path. the default prediction can be overridden by software at assembly or compile time. branches are examined in the decode and pre-fetch buffer 0 fetch queue stages. two branch instructions can be handled simultaneously. if the branch in decode is not taken, the fetch logic fetches along the predicted path of the branch instruction in pre-fetch buffer 0. if the branch in decode is taken, the fetch logic ignores the branch instruction in pre- fetch buffer 0. execution unit the embedded ppc405 core has a single issue execution unit (exu) containing the register file, arithmetic logic unit (alu), and the multiply-accumulate (mac) unit. the execution unit performs all 32-bit powerpc integer instructions in hardware. the register file is comprised of thirty-two 32-bit general purpose registers (gpr), which are accessed with three read ports and two write ports. during the decode stage, data is read out of the gprs and fed to the execution unit. likewise, during the write-back stage, results are written to the gpr. the use of the five ports on the register file enables either a load or a store operation to execute in parallel with an alu operation. memory management unit (mmu) the embedded ppc405 core has a 4 gb address space, which is presented as a flat address space. the mmu provides address translation, protection functions, and storage attribute control for embedded applications. the mmu supports demand-paged virtual memory and other management schemes that require precise control of logical- to-physical address mapping and flexible memory protection. working with appropriate system-level software, the mmu provides the following functions: ? translation of the 4 gb effective address space into physical addresses ? independent enabling of instruction and data translation/protection ? page-level access control using the translation mechanism ? software control of page replacement strategy ? additional control over protection using zones ? storage attributes for cache policy and speculative memory access control the mmu can be disabled under software control. if the mmu is not used, the ppc405 core provides other storage control mechanisms. translation look-aside buffer (tlb) the translation look-aside buffer (tlb) is the hardware resource that controls translation and protection. it consists of 64 entries, each specifying a page to be translated. the tlb is fully associative; a given page entry can be placed anywhere in the tlb. the translation function of the mmu occurs pre- cache. cache tags and indexing use physical addresses. software manages the establishment and replacement of tlb entries. this gives system software significant flexibility in implementing a custom page replacement strategy. for example, to reduce tlb thrashing or translation delays, software can reserve several tlb entries in the tlb for globally accessible static mappings. the instruction set provides several instructions used to manage tlb entries. these instructions are privileged and require the software to be executing in supervisor state. additional tlb instructions are provided to move tlb entry fields to and from gprs. the mmu divides logical storage into pages. eight page sizes (1 kb, 4 kb, 16 kb, 64 kb, 256 kb, 1 mb, 4 mb, and 16 mb) are simultaneously supported, such that, at any given time, the tlb can contain entries for any combination of page sizes. in order for a logical to physical translation to exist, a valid entry for the page containing the logical address must be in the tlb. addresses for which no tlb entry exists cause tlb-miss exceptions.
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 7 r product not recommen ded for new designs to improve performance, four instruction-side and eight data-side tlb entries are kept in shadow arrays. the shadow arrays allow single-cycle address translation and also help to avoid tlb contention between load/store and instruction fetch operations. hardware manages the replacement and invalidation of shadow-tlb entries; no system software action is required. memory protection when address translation is enabled, the translation mechanism provides a basic level of protection. the zone protection register (zpr) enables the system software to override the tlb access controls. for example, the zpr provides a way to deny read access to application programs. the zpr can be used to classify storage by type; access by type can be changed without manipulating individual tlb entries. the powerpc architecture provides wiu0ge (write-back / write-through, cach eability, user-def ined 0, guarded, endian) storage attributes that control memory accesses, using bits in the tlb or, when address translation is disabled, storage attribute control registers. when address translation is enabled, storage attribute control bits in the tlb control the storage attributes associated with the current page. when address translation is disabled, bits in each storage attribute control register control the storage attributes associated with storage regions. each storage attribute control register contains 32 fields. each field sets the associated storage attribute for a 128 mb memory region. timers the embedded ppc405 core contains a 64-bit time base and three timers, as shown in figure 5 : ? programmable interval timer (pit) ? fixed interval timer (fit) ? watchdog timer (wdt) the time base counter increments either by an internal signal equal to the cpu clock rate or by a separate external timer clock signal. no interrupts are generated when the time base rolls over. the three timers are synchronous with the time base. the pit is a 32-bit register that decrements at the same rate as the time base is incremented. the user loads the pit register with a value to create the desired delay. when the register reaches zero, the timer stops decrementing and generates a pit interrupt. optionally, the pit can be programmed to auto-reload the last value written to the pit register, after which the pit continues to decrement. the fit generates periodic interrupts based on one of four selectable bits in the time base. when the selected bit changes from 0 to 1, the ppc405 core generates a fit interrupt. the wdt provides a periodic critical-class interrupt based on a selected bit in the time base. this interrupt can be used for system error recovery in the event of software or system lockups. users may select one of four time periods for the interval and the type of reset generated if the wdt expires twice without an intervening clear from software. if enabled, the watchdog timer generates a reset unless an exception handler updates the wdt status bit before the timer has completed two of the selected timer intervals. interrupts the ppc405 provides an interface to an interrupt controller that is logically outside the ppc405 core. this controller combines the asynchronous interrupt inputs and presents them to the embedded core as a single interrupt signal. the sources of asynchronous interrupts are external signals, the jtag/debug unit, and any implemented peripherals. debug logic all architected resources on the embedded ppc405 core can be accessed through the debug logic. upon a debug event, the ppc405 core provides debug information to an external debug tool. three different types of tools are supported depending on the debug mode: rom monitors, jtag debuggers, and instruction trace tools. x-ref target - figure 5 figure 5: relationship of timer facilities to base clock tbu (32 bits) bit 3 (2 29 clocks) bit 7 (2 25 clocks) bit 11 (2 21 clocks) bit 15 (2 17 clocks) bit 11 (2 21 clocks) bit 15 (2 17 clocks) bit 19 (2 13 9 clocks) bit 23 (2 clocks) wdt events fit events time base (incrementer) 31 tbl (32 bits) 31 00 pit (decrementer) (32 bits) 31 0 zero detect pit events external clock source ds083-2_06_062001
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 8 r product not recommen ded for new designs in internal debug mode, a debug event enables exception- handling software at a dedicated interrupt vector to take over the cpu core and communicate with a debug tool. the debug tool has read-write access to all registers and can set hardware or software breakpoints. rom monitors typically use the internal debug mode. in external debug mode, the cpu core enters stop state (stops instruction execution) when a debug event occurs. this mode offers a debug tool read-write access to all registers in the ppc405 core. once the cpu core is in stop state, the debug tool can start the cpu core, step an instruction, freeze the timers, or set hardware or software break points. in addition to cpu core control, the debug logic is capable of writing inst ructions into the instruction cache, eliminating the need for external memory during initial board bring-up. communication to a debug tool using external debug mode is through the jtag port. debug wait mode offers the same functionality as external debug mode with one exception. in debug wait mode, the cpu core goes into wait state instead of stop state after a debug event. wait state is identical to stop state until an interrupt occurs. in wait state, the ppc405 core can vector to an exception handler, service an interrupt and return to wait state. this mode is particularly useful when debugging real time control systems. real-time trace debug mode is always enabled. the debug logic continuously broadcasts instruction trace information to the trace port. when a debug event occurs, the debug logic signals an external debug tool to save instruction trace information before and after the event. the number of instructions traced depends on the trace tool. debug events signal the debug logic to stop the cpu core, put the cpu core in debug wait state, cause a debug exception or save instruction trace information. big endian and little endian support the embedded ppc405 core supports big endian or little endian byte ordering for instructions stored in external memory. since the powerpc architecture is big endian internally, the icu rearranges the instructions stored as little endian into the big endian format. therefore, the instruction cache always contains instructions in big endian format so that the byte ordering is correct for the execution unit. this feature allows the 405 core to be used in systems designed to function in a little endian environment.
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 9 r product not recommen ded for new designs functional description: fpga input/output blocks (iobs) virtex-ii pro i/o blocks (iobs) are provided in groups of two or four on the perimeter of each device. each iob can be used as input and/or output for single-ended i/os. two iobs can be used as a differential pair. a differential pair is always connected to the same swit ch matrix, as shown in figure 6 . iob blocks are designed for high-performance i/o, supporting 22 single-ended standar ds, as well as differential signaling with lvds, ldt, bus lvds, and lvpecl. note: differential i/os must use the same clock. supported i/o standards virtex-ii pro iob blocks feature selectio-ultra inputs and outputs that support a wide variety of i/o signaling standards. in addition to the internal supply voltage (v ccint = 1.5v), output driver supply voltage (v cco ) is dependent on the i/o standard (see ta b l e 1 and ta bl e 2 ). an auxiliary supply voltage (v ccaux = 2.5v) is required, regardless of the i/o standard used. for exact supply voltage absolute maximum ratings, see "qpro virtex-ii pro 1.5v platform fpgas:" . all of the user iobs have fixed-clamp diodes to v cco and to ground. the iobs are not compatible or compliant with 5v i/o standards (not 5v-tolerant). ta bl e 3, page 10 lists supported i/o standards with digitally controlled impedance. see "digitally controlled impedance (dci)," page 15 . x-ref target - figure 6 figure 6: virtex-ii pro input/output tile ta bl e 1 : supported single-ended i/o standards iostandard attribute output v cco input v cco input v ref board termination voltag e (v tt ) lv t t l (1) 3.3 3.3 ? (5) ? lv c m o s 3 3 (1) 3.3 3.3 ? ? lvcmos25 2.5 2.5 ? ? lvcmos18 1.8 1.8 ? ? lvcmos15 1.5 1.5 ? ? pci33_3 note (2) note (2) ? ? iob pad4 iob pad3 differential pair iob pad2 iob pad1 differential pair switch matrix ds083-2_30_010202 pci66_3 note (2) note (2) ? ? pcix note (2) note (2) ? ? gtl note (3) note (3) 0.8 1.2 gtlp note (3) note (3) 1.0 1.5 hstl_i 1.5 ? 0.75 0.75 hstl_ii 1.5 ? 0.75 0.75 hstl_iii 1.5 ? 0.9 1.5 hstl_iv 1.5 ? 0.9 1.5 hstl_i_18 1.8 ? 0.9 0.9 hstl_ii_18 1.8 ? 0.9 0.9 hstl_iii _18 1.8 ? 1.1 1.8 hstl_iv_18 1.8 ? 1.1 1.8 sstl2_i 2.5 ? 1.25 1.25 sstl2_ii 2.5 ? 1.25 1.25 sstl18_i (4) 1.8 ? 0.9 0.9 sstl18_ii 1.8 ? 0.9 0.9 notes: 1. refer to xapp659, virtex-ii pro / virtex-ii pro x 3.3v i/o design guidelines , for more details on interfacing to these 3.3v standards. 2. for pci and pci-x standards, refer to xapp653 , 3.3v pci design guidelines. 3. v cco of gtl or gtlp should not be lower than the termination voltage or the voltage seen at the i/o pad. example: if the pin high level is 1.5v, connect v cco to 1.5v. 4. sstl18_i is not a jedec-supported standard. 5. locations marked with a dash indicate no requirement. ta b l e 2 : supported differential signal i/o standards i/o standard output v cco input v cco input v ref output v od ldt_25 2.5 ? (2) ? 0.500 ? 0.740 lvds_25 2.5 ? ? 0.247 ? 0.454 lvdsext_25 2.5 ? ? 0.440 ? 0.820 blvds_25 2.5 ? ? 0.250 ? 0.450 ulvds_25 2.5 ? ? 0.500 ? 0.740 lvpecl_25 2.5 ? ? 0.345 ? 1.185 ldt_25_dt (1) 2.5 2.5 ? 0.500 ? 0.740 lvds_25_dt (1) 2.5 2.5 ? 0.247 ? 0.454 lvdsext_25_dt (1) 2.5 2.5 ? 0.330 ? 0.700 ulvds_25_dt (1) 2.5 2.5 ? 0.500 ? 0.740 notes: 1. these standards support on-chip 100 ? termination. 2. locations marked with a dash indicate no requirement. ta b l e 1 : supported single-ended i/o standards (cont?d) iostandard attribute output v cco input v cco input v ref board termination voltag e (v tt )
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 10 r product not recommen ded for new designs logic resources iob blocks include six storage elements, as shown in figure 7 . each storage element can be configured either as an edge- triggered d-type flip-flop or as a level-sensitive latch. on the input, output, and three-state path, one or two ddr registers can be used. double data rate is directly accomplished by the two registers on each path, clocked by the rising edges (or falling edges) from two different clock nets. the two clock signals are generated by the dcm and must be 180 degrees out of phase, as shown in figure 8, page 11 . there are two input, output, and three-state data signals, each being alternately clocked out. this ddr mechanism can be used to mirror a copy of the clock on the output. this is useful for propagating a clock along the data that has an identical delay. it is also useful for multiple clock generation, where there is a unique clock driver for every clock load. virtex-ii pro devices can produce many copies of a clock with very little skew. each group of two registers has a clock enable signal (ice for the input registers, oce for the output registers, and tce for the three-state registers). the clock enable signals are active high by default. if left unconnected, the clock enable for that storage element defaults to the active state. each iob block has common synchronous or asynchronous set and reset (sr and rev signals). two neighboring iobs have a shared routing resource connecting the iclk and otclk pins on pairs of iobs. if two adjacent iobs using ddr registers do not share the same clock signals on their clock pins (iclk1, iclk2, otclk1, and otclk2), one of the clock signals will be unroutable. the iob pairing is identical to the lvds iob pairs. hence, the package pin-out table can also be used for pin assignment to avoid conflict. sr forces the storage element into the state specified by the srhigh or srlow attribute. srhigh forces a logic 1. srlow forces a logic ?0?. when sr is used, a second input (rev) forces the storage element into the opposite state. the reset condition predominates over the set condition. the initial state after configur ation or global initialization state is defined by a separate init0 and init1 attribute. by default, the srlow attribute forces init0, and the srhigh attribute forces init1. for each storage element, the srhigh, srlow, init0, and init1 attributes are independent. synchronous or asynchronous set / reset is consistent in an iob block. all the control signals have independent polarity. any inverter placed on a control input is automatically absorbed. ta bl e 3 : supported dci i/o standards i/o standard output v cco input v cco input v ref termination type lvdci_33 (1) 3.3 3.3 ? (4) series lvdci_25 2.5 2.5 ? series lvdci_dv2_25 2.5 2.5 ? series lvdci_18 1.8 1.8 ? series lvdci_dv2_18 1.8 1.8 ? series lvdci_15 1.5 1.5 ? series lvdci_dv2_15 1.5 1.5 ? series gtl_dci 1.2 1.2 0.8 single gtlp_dci 1.5 1.5 1.0 single hstl_i_dci 1.5 1.5 0.75 split hstl_ii_dci 1.5 1.5 0.75 split hstl_iii_dci 1.5 1.5 0.9 single hstl_iv_dci 1.5 1.5 0.9 single hstl_i_dci_18 1.8 1.8 0.9 split hstl_ii_dci_18 1.8 1.8 0.9 split hstl_iii_dci_18 1.8 1.8 1.1 single hstl_iv_dci_18 1.8 1.8 1.1 single sstl2_i_dci (2) 2.5 2.5 1.25 split sstl2_ii_dci (2) 2.5 2.5 1.25 split sstl18_i_dci (3) 1.8 1.8 0.9 split sstl18_ii_dci 1.8 1.8 0.9 split lvds_25_dci 2.5 2.5 ? split lvdsext_25_dci 2.5 2.5 ? split notes: 1. lvdci_xx is lvcmos output controlled impedance buffers, matching all or half of the reference resistors. 2. these are sstl compatible. 3. sstl18_i is not a jedec-supported standard. 4. locations marked with a dash indicate no requirement. x-ref target - figure 7 figure 7: virtex-ii pro iob block reg ock1 reg ock2 reg ick1 reg ick2 ddr mux input pa d 3-state reg ock1 reg ock2 ddr mux output iob ds031_29_100900
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 11 r product not recommen ded for new designs each register or latch, independent of all other registers or latches, can be configured as follows: ? no set or reset ? synchronous set ? synchronous reset ? synchronous set and reset ? asynchronous set (preset) ? asynchronous reset (clear) ? asynchronous set and reset (preset and clear) the synchronous reset overrides a set, and an asynchronous clear overrides a preset (refer to figure 9 ). x-ref target - figure 8 figure 8: double data rate registers x-ref target - figure 9 figure 9: register / latch configuration in an iob block d1 clk1 ddr mux q1 fddr d2 clk2 qq q2 d1 clk1 ddr mux dcm q1 fddr d2 clk2 q2 1 8 0 0 dcm 0 d s 0 83 -2_26_121207 ff latch sr rev d1 q1 ce ck1 ff latch sr rev d2 ff1 ff2 ddr mux q2 ce ck2 rev sr (o/t) clk1 (oq or tq) (o/t) ce (o/t) 1 (o/t) clk2 (o/t) 2 attribute init1 init0 srhigh srlow attribute init1 init0 srhigh srlow reset type sync async ds031_25_110300 shared by all registers
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 12 r product not recommen ded for new designs input/output individual options each device pad has optional pull-up/pull-down resistors and weak-keeper circuit in the lvttl, lvcmos, and pci selectio-ultra configurat ions, as illustrated in figure 10 . values of the optional pull-up and pull-down resistors fall within a range of 40 k ? to 120 k ? when v cco = 2.5v (from 2.38v to 2.63v only). the clamp diodes are always present, even when power is not. the optional weak-keeper circuit is connected to each user i/o pad. when selected, the circuit monitors the voltage on the pad and weakly drives the pin high or low. if the pin is connected to a multiple-source signal, the weak-keeper holds the signal in its last state if all drivers are disabled. maintaining a valid logic level in this way eliminates bus chatter. an enabled pull-up or pull-down overrides the weak- keeper circuit. lvcmos25 sinks and sources current up to 24 ma. the current is programmable (see ta b l e 4 ). drive strength and slew rate controls for each output driver minimize bus transients. for lvdci and lvdci_dv2 standards, drive strength and slew rate controls are not available. figure 11 shows the sstl2, sstl18, and hstl configurations. hstl can sink current up to 48 ma. (hstl iv) all pads are protected against damage from electrostatic discharge (esd) and from over-voltage transients. virtex-ii pro uses two memory cells to control the configuration of an i/o as an input. this is to reduce the probability of an i/o configured as an input from flipping to an output when subjected to a single event upset (seu) in space applications. prior to configuration, all outputs not involved in configuration are forced into their high-impedance state. the pull-down resistors and the weak-keeper circuits are inactive. the dedicated pin hswap_en controls the pull-up resistors prior to configuration. by default, hswap_en is set high, which disables the pull-up resistors on user i/o pins. when hswap_en is set low, the pull-up resistors are activated on user i/o pins. all virtex-ii pro iobs (except rocketio transceiver pins) support ieee 1149.1 and ieee 1532 compatib le boundary- scan testing. x-ref target - figure 10 figure 10: lvttl, lvcmos, or pci selectio-ultra standard v cco v cco v cco weak keeper program delay obuf ibuf program current clamp diode pa d v ccaux = 2.5v ds083-2_07_101801 v ccint = 1.5v 40k ? 120k 40k ? 120k x-ref target - figure 11 figure 11: sstl or hstl selectio-ultra standards v cco obuf v ref clamp diode pa d v ccaux = 2.5v v ccint = 1.5v ds031_24_100900 ta bl e 4 : lvcmos programmable currents (sink and source) selectio-ultra programmable current (worst-case guaranteed minimum) lvttl 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma 24 ma lvcmos33 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma 24 ma lvcmos25 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma 24 ma lvcmos18 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma n/a lvcmos15 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma n/a
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 13 r product not recommen ded for new designs input path the virtex-ii pro iob input path routes input signals directly to internal logic and / or through an optional input flip-flop or latch, or through the ddr input registers. an optional delay element at the d-input of the storage element eliminates pad-to-pad hold time. the delay is matched to the internal clock-distribution delay of the virtex-ii pro device, and when used, assures that the pad-to-pad hold time is zero. each input buffer can be configured to conform to any of the low-voltage signaling standards supported. in some of these standards the input buff er utilizes a user-supplied threshold voltage, v ref . the need to supply v ref imposes constraints on which standards can be used in the same bank. see i/o banking description. output path the output path includes a three-state output buffer that drives the output signal onto the pad. the output and / or the 3-state signal can be routed to the buffer directly from the internal logic or through an output / three-state flip-flop or latch, or through the ddr output / three-state registers. each output driver can be individually programmed for a wide range of low-voltage signaling standards. in most signaling standards, the output high voltage depends on an externally supplied v cco voltage. the need to supply v cco imposes constraints on which standards can be used in the same bank. see i/o banking description. i/o banking some of the i/o standards described above require v cco and v ref voltages. these voltages are externally supplied and connected to device pins that serve groups of iob blocks, called banks. consequently, restrictions exist about which i/o standards can be combined within a given bank. eight i/o banks result from dividing each edge of the fpga into two banks, as shown in figure 12 and figure 13 . each bank has multiple v cco pins, all of which must be connected to the same voltage. this voltage is determined by the output standards in use. some input standards require a user-supplied threshold voltage (v ref ), and certain user-i/o pins are automatically configured as v ref inputs. approximately one in six of the i/o pins in the bank assume this role. v ref pins within a bank are interconnected internally, thus only one v ref voltage can be used within each bank. however, for correct operation, all v ref pins in the bank must be connected to the external reference voltage source. the v cco and the v ref pins for each bank appear in the device pinout tables. within a given package, the number of v ref and v cco pins can vary depending on the size of device. in larger devices, more i/o pins convert to v ref pins. since these are alwa ys a superset of the v ref pins used for smaller devices, it is possible to design a pcb that permits migration to a larger device if necessary. all v ref pins for the largest device anticipated must be connected to the v ref voltage and not used for i/o. in smaller devices, some v cco pins used in larger devices do not connect within the package. these unconnected pins can be left unconnected externally, or, if necessary, they can be connected to v cco to permit migration to a larger device. x-ref target - figure 12 figure 12: i/o banks: wire-bond packages (fg) top view x-ref target - figure 13 figure 13: i/o banks: flip-chip packages (ff) top view ug002_c2_014_041403 bank 0 bank 1 bank 5 bank 4 bank 7 bank 6 bank 2 bank 3 ds031_66_041403 bank 1 bank 0 bank 4 bank 5 bank 2 bank 3 bank 7 bank 6
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 14 r product not recommen ded for new designs rules for combining i/o standards in the same bank the following rules must be obeyed to combine different input, output, and bidirectional standards in the same bank: ? combining output standards only. output standards with the same output v cco requirement can be combined in the same bank. compatible example: sstl2_i and lvds_25 outputs incompatible example: sstl2_i (output v cco = 2.5v) and ? lvcmos33 (output v cco = 3.3v) outputs ? combining input standards only. input standards with the same input v cco and input v ref requirements can be combined in the same bank. compatible example: lvcmos15 and hstl_iv inputs incompatible example: lvcmos15 (input v cco = 1.5v) and ? lvcmos18 (input v cco = 1.8v) inputs incompatible example: hstl_i_dci_18 (v ref = 0.9v) and ? hstl_iv_dci_18 (v ref = 1.1v) inputs ? combining input standards and output standards. input standards and output standards with the same input v cco and output v cco requirement can be combined in the same bank. compatible example: lvds_25 output and hstl_i input incompatible example: lvds_25 output (output v cco = 2.5v) and ? hstl_i_dci_18 input (input v cco = 1.8v) ? combining bidirectional standards with input or output standards. when combining bidirectional i/o with other standards, make sure the bidirectional standard can meet rules 1 through 3 above. ? additional rules for combining dci i/o standards. ? no more than one single termination type (input or output) is allowed in the same bank. incompatible example: hstl_iv_dci input and hstl_iii_dci input ? no more than one split termination type (input or output) is allowed in the same bank. incompatible example: hstl_i_dci input and hstl_ii_dci input the implementation tools will enforce the above design rules. ta b l e 5 , summarizes all standards and voltage supplies. ta b l e 5 : summary of voltage supply requirements for all input and output standards i/o standard v cco v ref termination type output input input output input lv t t l (1) 3.3 3.3 ? (4) ?? lv c m o s 3 3 (1) ?? ? lvdci_33 (1) ?series ? pcix (2) ?? ? pci33_3 (2) ?? ? pci66_3 (2) ?? ? lv d s _ 2 5 2.5 note (3) ?? ? lvdsext_25 ? ? ? ldt_25 ? ? ? ulvds_25 ? ? ? blvds_25 ? ? ? lvpecl_25 ? ? ? sstl2_i 1.25 ? ? sstl2_ii 1.25 ? ? lv c m o s 2 5 2.5 ?? ? lvdci_25 ? series ? lvdci_dv2_25 ? series ? lvds_25_dci ? ? split lvdsext_25_dci ? ? split sstl2_i_dci 1.25 ? split sstl2_ii_dci 1.25 split split lvds_25_dt ? ? ? lvdsext_25_dt ? ? ? ldt_25_dt ? ? ? ulvds_25_dt ? ? ? hstl_iii_18 1.8 note (3) 1.1 ? ? hstl_iv_18 1.1 ? ? hstl_i_18 0.9 ? ? hstl_ii_18 0.9 ? ? sstl18_i 0.9 ? ? sstl18_ii 0.9 ? ? lv c m o s 1 8 1.8 1.8 ?? ? lvdci_18 ? series ? lvdci_dv2_18 ? series ? hstl_iii_dci_18 1.1 ? single hstl_iv_dci_18 1.1 single single hstl_i_dci_18 0.9 ? split hstl_ii_dci_18 0.9 split split sstl18_i_dci 0.9 ? split sstl18_ii_dci 0.9 split split
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 15 r product not recommen ded for new designs digitally controlled impedance (dci) today?s chip output signals with fast edge rates require termination to prevent reflections and maintain signal integrity. high pin count packages (especially ball grid arrays) can not accommodate external termination resistors. virtex-ii pro xcite dci provides controlled impedance drivers and on-chip termination for single-ended and differential i/os. this eliminates the need for external resistors and improves signal integrity. the dci feature can be used on any iob by selecting one of the dci i/o standards. when applied to inputs, dc i provides input parallel termination. when applied to outputs, dci provides controlled impedance drivers (series termination) or output parallel termination. dci operates independently on each i/o bank. when a dci i/o standard is used in a particular i/o bank, external reference resistors must be connected to two dual-function pins on the bank. these resistors, voltage reference of n transistor (vrn) and the voltage reference of p transistor (vrp) are shown in figure 14 . when used with a terminated i/o standard, the value of the resistors are specified by the standard (typically 50 ? ). when used with a controlled impedance driver, the resistors set the output impedance of the driver within the specified range (20 ? to 100 ?? . for all series and parallel terminations listed in ta b l e 6, page 16 and ta b l e 7, page 16 , the reference resistors must have the same value for any given bank. one percent resistors are recommended. the dci system adjusts the i/o impedance to match the two external reference resistors, or half of the reference resistors, and compensates for impedance changes due to voltage and/or temperature fluctuations. the adjustment is done by turning parallel transistors in the iob on or off. controlled impedance drivers (series termination) dci can be used to provide a buffer with a controlled output impedance ( figure 15 ). it is desirable for this output impedance to match the transmission line impedance (z 0 ). virtex-ii pro input buffers also support lvdci and lvdci_dv2. hstl_iii 1.5 note (3) 0.9 ? ? hstl_iv 0.9 ? ? hstl_i 0.75 ? ? hstl_ii 0.75 ? ? lv c m o s 1 5 1.5 ?? ? lvdci_15 ? series ? lvdci_dv2_15 ? series ? gtlp_dci 1 single single hstl_iii_dci 0.9 ? single hstl_iv_dci 0.9 single single hstl_i_dci 0.75 ? split hstl_ii_dci 0.75 split split gtl_dci 1.2 1.2 0.8 single single gtlp ? note (3) 1? ? gtl 0.8 ? ? notes: 1. see application note virtex -ii pro / virtex-ii pro x 3.3v i/o design guidelines , for more detailed information. 2. see application note 3.3v pci design guidelines for more detailed information. 3. pin voltage must not exceed v cco . 4. locations marked with a dash indicate no requirement. table 5: summary of voltage supply requirements for all input and output standards (cont?d) i/o standard v cco v ref termination type output input input output input x-ref target - figure 14 figure 14: dci in a virtex-ii pro bank x-ref target - figure 15 figure 15: internal series termination ds031_50_101200 v cco gnd dci dci dci dci vrn vrp 1 bank r ref (1%) r ref (1%) z 0 iob z virtex-ii pro dci ds083-2_09_082902 v cco = 3.3v, 2.5 v, 1.8 v, or 1.5 v
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 16 r product not recommen ded for new designs controlled impedance terminations (parallel) dci also provides on-chip termination for sstl2, sstl18, hstl (class i, ii, iii, or iv), lvds_25, lvdsext_25, and gtl/gtlp receivers or transmitters on bidirectional lines. ta bl e 7 and ta bl e 8 list the on-chip parallel terminations available in virtex-ii pro devices. v cco must be set according to ta b l e 3, page 10 . there is a v cco requirement for gtl_dci and gtlp_dci, due to the on-chip termination resistor. ta bl e 6 : selectio-ultra controlled impedance buffers v cco dci dci half impedance 3.3v lvdci_33 n/a 2.5v lvdci_25 lvdci_dv2_25 1.8v lvdci_18 lvdci_dv2_18 1.5v lvdci_15 lvdci_dv2_15 ta b l e 7 : selectio-ultra buffers with on-chip parallel termination i/o standard description iostandard attribute external termination on-chip termination sstl class i, 2.5v sstl2_i sstl2_i_dci (1) sstl class ii, 2.5v sst l2_ii sstl2_ii_dci (1) sstl class i, 1.8v sstl18_i sstl18_i_dci sstl class ii, 1.8v sstl18_ii sstl18_ii_dci hstl class i hstl_i hstl_i_dci hstl class i, 1.8v hstl_i_18 hstl_i_dci_18 hstl class ii hstl_ii hstl_ii_dci hstl class ii, 1.8v hstl_ii_18 hstl_ii_dci_18 hstl class iii hstl_iii hstl_iii_dci hstl class iii, 1.8v hstl_iii_18 hstl_iii_dci_18 hstl class iv hstl_iv hstl_iv_dci hstl class iv, 1.8v hs tl_iv_18 hstl_iv_dci_18 gtl gtl gtl_dci gtl plus gtlp gtlp_dci notes: 1. sstl compatible. ta bl e 8 : selectio-ultra differential buffers with on-chip termination i/o standard description iostandard attribute external termination on-chip termination lvds 2.5v lvds_25 lvds_25_dci lvds extended 2.5v lvdsext_25 lvdsext_25_dci
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 17 r product not recommen ded for new designs figure 16 provides examples illustrating the use of the hstl _i_dci, hstl_ii_dci , hstl_iii_dci, and hstl_iv_dci i/o standards. for a complete list, see the virtex-ii pro platform fpga user guide. x-ref target - figure 16 figure 16: hstl dci usage examples rr rr r r r r rr 2r 2r r 2r r 2r 2r 2r 2r 2r ds083-2_65a_082102 conventional dci transmit conventional receive conventional transmit dci receive dci transmit dci receive bidirectional reference resistor recommended z 0 vrn = vrp = r = z 0 50 vrn = vrp = r = z 0 50 vrn = vrp = r = z 0 50 vrn = vrp = r = z 0 50 hstl_i hstl_ii hstl_iii hstl_iv n/a n/a r r r r z 0 r r 2r 2r 2r 2r z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci z 0 virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci 2r 2r 2r 2r z 0 r r v cco /2 v cco /2 v cco /2 v cco /2 v cco /2 v cco /2 v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 18 r product not recommen ded for new designs figure 17 provides examples illustrating the use of the sstl2 _i_dci, sstl2_ii_dci, sstl1 8_i_dci, and sstl18_ii_dci i/o standards. for a complete list, see the virtex-ii pro platform fpga user guide x-ref target - figure 17 figure 17: sstl dci usage examples ds083-2_65b_011603 conventional dci transmit conventional receive conventional transmit dci receive dci transmit dci receive bidirectional reference resistor recommended z 0 (2) vrn = vrp = r = z 0 50 vrn = vrp = r = z 0 50 sstl2_i or sstl18_i sstl2_ii or sstl18_ii n/a z 0 r v cco /2 z 0 r/2 rr v cco /2 v cco /2 z 0 r/2 r v cco /2 z 0 r/2 2r 2r v cco z 0 r/2 2r 2r v cco 2r r v cco v cco /2 2r z 0 r v cco /2 z 0 2r 2r v cco 2r 2r v cco z 0 2r 2r v cco z 0 2r 2r v cco 2r 2r v cco 25 (1) 25 (1) 25 (1) 25 (1) 25 (1) 25 virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci notes: 1. the sstl-compatible 25 series resistor is accounted for in the dci buffer, and it is not dci controlled. 2. z 0 is the recommended pcb trace impedance.
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 19 r product not recommen ded for new designs figure 18 provides examples illustrating the use of the lvds_25_dci and lvdsext_25_dci i/o standards. for a complete list, see the virtex-ii pro platform fpga user guide . on-chip differential termination virtex-ii pro provides a true 100 ? differential termination (dt) across the input differential receiver terminals. the lvds_25_dt, lvdsext_25_dt, ldt_25_dt, and ulvds_25_dt standards support on-chip differential termination: ? the on-chip input differential termination in virtex-ii pro provides major advantages over the external resistor or the dci termination solution: ? eliminates the stub at th e receiver completely and therefore greatly improve signal integrity ? consumes less power than dci termination ? supports ldt (not supported by dci termination) ? frees up vrp/vrn pins figure 19 provides examples illustrating the use of the lvds_25_dt, lvdsext_25_ dt, ldt_25_dt, and ulvds_25_dt i/o standards. for further details, refer to solution record 17244 . also see the virtex-ii pro platform fpga user guide for more design information. x-ref target - figure 18 figure 18: lvds dci usage examples ds083-2_65c_022103 conventional conventional transmit dci receive reference resistor recommended z 0 vrn = vrp = r = z 0 50 lvds_25_dci and lvdsext_25_dci receiver virtex-ii pro lvds dci z 0 2r 2r v cco z 0 2r 2r v cco virtex-ii pro lvds z 0 2r z 0 note: only lvds25_dci is supported (v cco = 2.5v only) x-ref target - figure 19 figure 19: lvds differential termination usage examples ds083-2_65e_052703 conventional conventional transmit, on-chip differential termination receive recommended z 0 50 lvds_25_dt, lvdsext_25_dt, ldt_25_dt, and ulvds_25_dt receiver virtex-ii pro lvds on-chip differential termination z 0 100 z 0 virtex-ii pro lvds z 0 2r z 0 note: only 2.5v lvds standards are supported (v cco = 2.5v only)
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 20 r product not recommen ded for new designs configurable logic blocks ( clb s) the virtex-ii pro configurable logic blocks (clb) are organized in an array and are used to build combinatorial and synchronous logic designs. each clb element is tied to a switch matrix to access the general routing matrix, as shown in figure 20 . a clb element comprises 4 similar slices, with fast local feedback within the clb. the four slices are split in two columns of two slices with two independent carry logic chains and one common shift chain. slice description each slice includes two 4-input function generators, carry logic, arithmetic logic gates, wide function multiplexers and two storage elements. as shown in figure 21 , each 4-input function generator is programmable as a 4-input lut, 16 bits of distributed selectram+ memory, or a 16-bit variable- tap shift register element. the output from the function generator in each slice drives both the slice output and the d input of the storage element. figure 22, page 21 shows a more detailed view of a single slice. configurations look-up table virtex-ii pro function generators are implemented as 4- input look-up tables (luts). four independent inputs are provided to each of the two function generators in a slice (f and g). these function generators are each capable of implementing any arbitrarily defined boolean function of four inputs. the propagation delay is therefore independent of the function implemented. signals from the function generators can exit the slice (x or y output), can input the xor dedicated gate (see arithmetic logic), or input the carry-logic multiplexer (see fast look-ahead carry logic), or feed the d input of the storage element, or go to the muxf5 (not shown in figure 22 ). in addition to the basic luts, the virtex-ii pro slice contains logic (muxf5 and muxfx mult iplexers) that combines function generators to provide any function of five, six, seven, or eight inputs. the muxfx is either muxf6, muxf7, or muxf8 according to the slice considered in the clb. selected functions up to nine inputs (muxf5 multiplexer) can be implemented in one slice. the muxfx can also be a muxf6, muxf7, or muxf8 multiplexer to map any function of six, seven, or eight inputs and selected wide logic functions. register/latch the storage elements in a virtex-ii pro slice can be configured either as edge-triggered d-type flip-flops or as level-sensitive latches. the d in put can be directly driven by the x or y output via the dx or dy input, or by the slice inputs bypassing the function generators via the bx or by input. the clock enable signal (ce) is active high by default. if left unconnected, the clock enable for that storage element defaults to the active state. in addition to clock (ck) and clock enable (ce) signals, each slice has set and reset signals (sr and by slice inputs). sr forces the storage element into the state specified by the attribute srhigh or srlow. srhigh forces a logic 1 when sr is asserted. srlow forces a logic 0. when sr is used, an optional second input (by) forces the storage element into the opposite state via the rev pin. the reset condition is predominant over the set condition. (see figure 23, page 22 .) the initial state after configurat ion or global initial state is defined by a separate init0 and init1 attribute. by default, setting the srlow attribute sets init0, and setting the srhigh attribute sets init1. for each slice, set and reset can be set to be synchro nous or asynchronous. x-ref target - figure 20 figure 20: virtex-ii pro clb element x-ref target - figure 21 figure 21: virtex-ii pro slice configuration slice x1y1 slice x1y0 slice x0y1 slice x0y0 fast connects to neighbors switch matrix ds083-2_32_122001 shift cin cout tbuf cout cin tbuf register/ latch muxf5 muxfx cy srl16 ram16 lut g register/ latch arithmetic logic cy lut f ds083-2_31_122001 srl16 ram16 orcy
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 21 r product not recommen ded for new designs virtex-ii pro devices also have the ability to set init0 and init1 independent of srhigh and srlow. the control signals clock (clk), clock enable (ce) and set/reset (sr) are common to both storage elements in one slice. all of the control signals have independent polarity. any inverter placed on a control i nput is automatically absorbed. the set and reset functionality of a register or a latch can be configured as follows: ? no set or reset ? synchronous set ? synchronous reset ? synchronous set and reset ? asynchronous set (preset) ? asynchronous reset (clear) ? asynchronous set and reset (preset and clear) the synchronous reset has precedence over a set, and an asynchronous clear has precedence over a preset. x-ref target - figure 22 figure 22: virtex-ii pro slice (top half) g4 sopin a4 g3 a3 g2 a2 g1 a1 wg4 wg4 wg3 wg3 wg2 wg2 wg1 by wg1 dual-port lut ff latch ram rom shift-reg d 0 mc15 ws sr sr rev di g y g2 g1 by 1 0 prod dq ce ce ck clk muxcy yb dig dy y 0 1 muxcy 0 1 1 sopout dymux gymux ybmux orcy wsg we[2:0] shiftout cyog xorg we clk wsf altdig ce sr clk slicewe[2:0] multand shared between x & y registers shiftin cout cin ds031_01_112502 q
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 22 r product not recommen ded for new designs distributed selectram+ memory each function generator (lut) can implement a 16 x 1-bit synchronous ram resource called a distributed selectram+ element. selectram+ elements are configurable within a clb to implement the following: ? single-port 16 x 8-bit ram ? single-port 32 x 4-bit ram ? single-port 64 x 2-bit ram ? single-port 128 x 1-bit ram ? dual-port 16 x 4-bit ram ? dual-port 32 x 2-bit ram ? dual-port 64 x 1-bit ram distributed selectram+ memory modules are synchronous (write) resources. the combinatorial read access time is extremely fast, while the synch ronous write simplifies high- speed designs. a synchronous read can be implemented with a storage element in the same slice. the distributed selectram+ memory and the storage element share the same clock input. a write enable (we) input is active high, and is driven by the sr input. ta bl e 9 shows the number of luts (2 per slice) occupied by each distributed selectram+ configuration. for single-port configurations, distributed selectram+ memory has one address port for synchronous writes and asynchronous reads. for dual-port configurations, distributed selectram+ memory has one port for synchronous writes and asynchronous reads and another port for asynchronous reads. the function generator (lut) has separated read address inputs (a1, a2, a3, a4) and write address inputs (wg1/wf1, wg2/wf2, wg3/wf3, wg4/wf4). in single-port mode, read and write addresses share the same address bus. in dual-port mode, one function generator (r/w port) is connected with shared read and write addresses. the second function generator has the a inputs (read) connected to the second read-only port address and the w inputs (write) shared with the first read/write port address. figure 24 , figure 25, page 23 , and figure 26, page 23 illustrate various example configurations. x-ref target - figure 23 figure 23: register / latch configuration in a slice ff ffy latch sr rev dq ce ck yq ff ffx latch sr rev d q ce ck xq ce dx dy by clk bx sr attribute init1 init0 srhigh srlow attribute init1 init0 srhigh srlow reset type sync async ds083-2_22_122001 ta b l e 9 : distributed selectram+ configurations ram number of luts 16 x 1s 1 16 x 1d 2 32 x 1s 2 32 x 1d 4 64 x 1s 4 64 x 1d 8 128 x 1s 8 notes: 1. s = single-port configuration; d = dual-port configuration x-ref target - figure 24 figure 24: distributed selectram+ (ram16x1s) a[3:0] d d di ws wsg we wclk ram 16x1s d q ram we ck a[4:1] wg[4:1] output registered output (optional) (sr) 4 4 (by) ds031_02_100900
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 23 r product not recommen ded for new designs similar to the ram configuration, each function generator (lut) can implement a 16 x 1-bit rom. five configurations are available: rom16x1, rom32x1, rom64x1, rom128x1, and rom256x1. the rom elements are cascadable to implement wider or/and deeper rom. rom contents are loaded at configuration. ta bl e 10 shows the number of luts occupied by each configuration. shift registers each function generator can also be configured as a 16-bit shift register. the write operation is synchronous with a clock input (clk) and an optional clock enable, as shown in figure 27 . a dynamic read access is performed through the 4-bit address bus, a[3:0]. the configurable 16-bit shift register cannot be set or re set. the read is asynchronous; however, the storage element or flip-flop is available to implement a synchronous read. any of the 16 bits can be read out asynchronously by varying the address. the storage element should always be used with a constant address. for example, when building an 8-bit shift register and configuring the addresses to point to the 7th bit, the 8th bit can be the flip-flop. the overall system performance is improved by using the superior clock-to-out of the flip-flops. an additional dedicated connection between shift registers allows connecting the last bit of one shift register to the first bit of the next, without using the ordinary lut output. (see figure 28, page 24 .) longer shift registers can be built with dynamic access to any bit in the chain. the shift register chaining and the muxf5, muxf6, and muxf7 multiplexers allow up to a 128-bit shift register with addressable access to be implemented in one clb . x-ref target - figure 25 figure 25: single-port distributed selectram+ (ram32x1s) x-ref target - figure 26 figure 26: dual-port distributed selectram+ (ram16x1d) a[3:0] d wsg f5mux we wclk ram 32x1s d q we we0 ck wsf d di ws ram g[4:1] a[4] wg[4:1] d di ws ram f[4:1] wf[4:1] output registered output (optional) (sr) 4 (by) (bx) 4 ds083-2_10_050901 a[3:0] d wsg we wclk ram 16x1d we ck d di ws ram g[4:1] wg[4:1] dual_port ram dual_port 4 (by) dpra[3:0] spo a[3:0] wsg we ck d di ws g[4:1] wg[4:1] dpo 4 4 ds031_04_110100 (sr) table 10: rom configuration rom number of luts 16 x 1 1 32 x 1 2 64 x 1 4 128 x 1 8 (1 clb) 256 x 1 16 (2 clbs) x-ref target - figure 27 figure 27: shift register configurations a[3:0] shiftin shiftout d(by) d mc15 di wsg ce (sr) clk srlc16 d q shift-reg we ck a[4:1] output registered output (optional) 4 ds031_05_110600 ws
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 24 r product not recommen ded for new designs multiplexers virtex-ii pro function generators and associated multiplexers can implement the following: ? 4:1 multiplexer in one slice ? 8:1 multiplexer in two slices ? 16:1 multiplexer in one clb element (4 slices) ? 32:1 multiplexer in two clb elements (8 slices) each virtex-ii pro slice has one muxf5 multiplexer and one muxfx multiplexer. the muxfx multiplexer implements the muxf6, muxf7, or muxf8, as shown in figure 29, page 25 . each clb element has two muxf6 multiplexers, one muxf7 multiplexer and one muxf8 multiplexer. examples of multiplexers are shown in the virtex-ii pro platform fpga user guide . any lut can implement a 2:1 multiplexer. fast lookahead carry logic dedicated carry logic provides fast arithmetic addition and subtraction. the virtex-ii pro clb has two separate carry chains, as shown in the figure 30, page 26 . the height of the carry chains is two bits per slice. the carry chain in the virtex-ii pro device is running upward. the dedicated carry path and carry multiplexer (muxcy) can also be used to cascade function generators for implementing wide logic functions. arithmetic logic the arithmetic logic includes an xor gate that allows a 2- bit full adder to be implemented within a slice. in addition, a dedicated and (mult_and) gate (shown in figure 22, page 21 ) improves the efficiency of multiplier implementation. sum of products each virtex-ii pro slice has a dedicated or gate named orcy, oring together outputs from the slices carryout and the orcy from an adjacent slice. the orcy gate with the dedicated sum of products (sop) chain are designed for implementing large, flexible sop chains. one input of each orcy is connected through the fast sop chain to the output of the previous orcy in the same slice row. the second input is connected to the output of the top muxcy in the same slice, as shown in figure 31, page 27 . luts and muxcys can implement large and gates or other combinatorial logic functions. figure 32, page 27 illustrates lut and muxcy res ources configured as a 16- input and gate. x-ref target - figure 28 figure 28: cascadable shift register srlc16 mc15 mc15 d srlc16 di shiftin cascadable out slice s0 slice s1 slice s2 slice s3 1 shift chain in clb clb ds031_06_110200 ff ff d srlc16 mc15 mc15 d srlc16 di shiftin shiftout ff ff d srlc16 mc15 mc15 d srlc16 di di shiftin in shiftout ff ff d srlc16 mc15 mc15 d srlc16 di shiftout ff ff d di di di out
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 25 r product not recommen ded for new designs x-ref target - figure 29 figure 29: muxf5 and muxfx multiplexers slice s1 slice s0 slice s3 slice s2 clb ds031_08_110200 f5 f6 f5 f7 f5 f6 f5 f8 muxf8 combines the two muxf7 outputs (two clbs) muxf6 combines the two muxf5 outputs from slices s2 and s3 muxf7 combines the two muxf6 outputs from slices s0 and s2 muxf6 combines the two muxf6 outputs from slices s0 and s1 g f g f g f g f
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 26 r product not recommen ded for new designs x-ref target - figure 30 figure 30: fast carry logic path ff lut oi muxcy ff lut oi muxcy ff lut oi muxcy ff lut oi muxcy cin cin cin cout ff lut oi muxcy ff lut oi muxcy ff lut oi muxcy ff lut oi muxcy cin cout cout to cin of s2 of the next clb cout to s0 of the next clb (first carry chain) (second carry chain) slice s1 slice s0 slice s3 slice s2 clb ds031_07_110200
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 27 r product not recommen ded for new designs x-ref target - figure 31 figure 31: horizontal cascade chain x-ref target - figure 32 figure 32: wide-input and gate (16 inputs) muxcy 4 muxcy 4 slice 1 ds031_64_110300 orcy lut lut muxcy 4 muxcy 4 slice 0 v cc lut lut muxcy 4 muxcy 4 slice 3 orcy lut lut muxcy 4 muxcy 4 slice 2 v cc lut lut sop clb muxcy 4 muxcy 4 slice 1 orcy lut lut muxcy 4 muxcy 4 slice 0 v cc lut lut muxcy 4 muxcy 4 slice 3 orcy lut lut muxcy 4 muxcy 4 slice 2 v cc lut lut clb muxcy and 4 16 muxcy 4 ?0? 01 01 ?0? 01 ?0? muxcy 4 slice out out slice lut ds031_41_110600 lut lut v cc muxcy 4 01 lut
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 28 r product not recommen ded for new designs three-state buffers introduction each virtex-ii pro clb contains two three-state drivers (tbufs) that can drive on-chip buses. each three-state buffer has its own three-state control pin and its own input pin. each of the four slices have access to the two three-state buffers through the switch matrix, as shown in figure 33 . tbufs in neighboring clbs can a ccess slice outputs by direct connects. the outputs of the three-state buffers drive horizontal routing resources used to implement three-state buses. the three-state buffer logic is implemented using and-or logic rather than three-state drivers, so that timing is more predictable and less load dependant especially with larger devices. locations / organization four horizontal routing resources per clb are provided for on-chip three-state buses. each three-state buffer has access alternately to two horizontal lines, which can be partitioned as shown in figure 34 . the switch matrices corresponding to selectram+ memory and multiplier or i/o blocks are skipped. number of three-state buffers ta b l e 11 shows the number of three-state buffers available in each virtex-ii pro device. the number of three-state buffers is twice the number of clb elements. clb/slice configurations ta b l e 12 summarizes the logic reso urces in one clb. all of the clbs are identical and each clb or slice can be implemented in one of the configurations listed. ta b l e 13 shows the available re sources in all clbs. x-ref target - figure 33 figure 33: virtex-ii pro three-state buffers slice s3 slice s2 slice s1 slice s0 switch matrix ds031_37_060700 tbuf tbuf table 11: virtex-ii pro three-state buffers device three-state buffers per row total number of three- state buffers xq2vp40 116 9,696 XQ2VP70 164 16,544 x-ref target - figure 34 figure 34: three-state buffer connection to horizontal lines ta bl e 1 2 : logic resources in one clb slices luts flip-flops mult_ands arithmetic & carry-chains sop chains distributed selectram+ shift registers tbuf 4 8 8 8 2 2 128 bits 128 bits 2 ta bl e 1 3 : virtex-ii pro logic resources available in all clbs device clb array: row x col. number of slices number of luts max distributed selectram or shift register (bits) number of flip-flops number of carry-chains (1) number of sop chains (1) xq2vp40 88 x 58 19,392 38,784 620,544 38,784 116 176 XQ2VP70 104 x 82 33,088 66,176 1,058,816 66,176 164 208 notes: 1. the carry-chains and sop chains can be split or cascaded. switch matrix clb-ii switch matrix clb-ii ds031_09_032700 programmable connection 3 - state lines
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 29 r product not recommen ded for new designs 18 kb block selectram+ resources introduction virtex-ii pro devices incorporate large amounts of 18 kb block selectram+ resources. these complement the distributed selectram+ resources that provide shallow ram structures implemented in clb s. each virtex-ii pro block selectram+ resource is an 18 kb true dual-port ram with two independently clocked and independently controlled synchronous ports that access a common storage area. both ports are functionally identical. clk, en, we, and ssr polarities are defined through configuration. each port has the following types of inputs: clock and clock enable, write enable, set/reset, and address, as well as separate data/parity data inputs (for write) and data/parity data outputs (for read). operation is synchronous; the block selectram+ behaves like a register. control, address and data inputs must (and need only) be valid during the set-up time window prior to a rising (or falling, a configuration option) clock edge. data outputs change as a result of the same clock edge. configuration virtex-ii pro block selectram+ supports various configurations, including single- and dual-port ram and various data/address aspect ratios. supported memory configurations for single- and dual-port modes are shown in ta bl e 14 . single-port configuration as a single-port ram, the block selectram+ has access to the 18 kb memory locations in any of the 2k x 9-bit, 1k x 18-bit, or 512 x 36-bit configurations and to 16 kb memory locations in any of the 16k x 1-bit, 8k x 2-bit, or 4k x 4-bit configurations. the advantage of the 9-bit, 18-bit and 36-bit widths is the ability to store a parity bit for each eight bits. parity bits must be generated or checked externally in user logic. in such cases, the width is viewed as 8 + 1, 16 + 2, or 32 + 4. these extra parity bits are stored and behave exactly as the other bits, including the timing parameters. video applications can use the 9-bit ratio of virtex-ii pro block selectram+ memory to advantage. each block selectram+ cell is a fully synchronous memory as illustrated in figure 35 . input data bus and output data bus widths are identical. dual-port configuration as a dual-port ram, each port of block selectram+ has access to a common 18 kb memory resource. these are fully synchronous ports with independent control signals for each port. the data widths of the two ports can be configured independently, providing built-in bus-width conversion. ta b l e 15 illustrates the different co nfigurations available on ports a and b. ta bl e 1 4 : dual- and single-port configurations 16k x 1 bit 2k x 9 bits 8k x 2 bits 1k x 18 bits 4k x 4 bits 512 x 36 bits x-ref target - figure 35 figure 35: 18 kb block selectram+ memory in single- port mode dop dip addr we en ssr clk 18-kbit block selectram ds031_10_102000 di do ta bl e 1 5 : dual-port mode configurations port a 16k x 1 16k x 1 16k x 1 16k x 1 16k x 1 16k x 1 port b 16k x 1 8k x 2 4k x 4 2k x 9 1k x 18 512 x 36 port a 8k x 2 8k x 2 8k x 2 8k x 2 8k x 2 port b 8k x 2 4k x 4 2k x 9 1k x 18 512 x 36 port a 4k x 4 4k x 4 4k x 4 4k x 4 port b 4k x 4 2k x 9 1k x 18 512 x 36 port a 2k x 9 2k x 9 2k x 9 port b 2k x 9 1k x 18 512 x 36 port a 1k x 18 1k x 18 port b 1k x 18 512 x 36 port a 512 x 36 port b 512 x 36
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 30 r product not recommen ded for new designs if both ports are configured in either 2k x 9-bit, 1k x 18-bit, or 512 x 36-bit configurations, the 18 kb block is accessible from port a or b. if both ports are configured in either 16k x 1-bit, 8k x 2-bit. or 4k x 4-bit configurations, the 16 k-bit block is accessible from port a or port b. all other configurations result in one port having access to an 18 kb memory block and the other port having access to a 16 k-bit subset of the memory block equal to 16 kbs. each block selectram+ cell is a fully synchronous memory, as illustrated in figure 36 . the two ports have independent inputs and outputs and are independently clocked. port aspect ratios ta bl e 16 shows the depth and the width aspect ratios for the 18 kb block selectram+ resource. virtex-ii pro block selectram+ also includes de dicated routing resources to provide an efficient interface with clb s, block selectram+, and multipliers. read/write operations the virtex-ii pro block selectram+ read operation is fully synchronous. an address is presented, and the read operation is enabled by control signal ena or enb. then, depending on clock polarity, a rising or falling clock edge causes the stored data to be loaded into output registers. the write operation is also fully synchronous. data and address are presented, and the write operation is enabled by control signals wea and web in addition to ena or enb. then, again depending on the clock input mode, a rising or falling clock edge causes the data to be loaded into the memory cell addressed. a write operation performs a simultaneous read operation. three different options are available, selected by configuration: ? write_first the write_first option is a transparent mode. the same clock edge that writes the data input (di) into the memory also transfers di into the output registers do, as shown in figure 37 . ? read_first the read_first option is a read-before-write mode. the same clock edge that writes data input (di) into the memory also transfers the prior content of the memory cell addressed into the data output registers do, as shown in figure 38 . x-ref target - figure 36 figure 36: 18 kb block selectram+ in dual-port mode ta bl e 1 6 : 18 kb block selectram+ port aspect ratio width depth address bus data bus parity bus 1 16,384 addr[13:0] data[0] ? 2 8,192 addr[12:0] data[1:0] ? 4 4,096 addr[11:0] data[3:0] ? 9 2,048 addr[10:0] data[7:0] parity[0] 18 1,024 addr[9:0] data[15:0] parity[1:0] 36 512 addr[8:0] data[31:0] parity[3:0] dopa dopb dipa addra wea ena ssra clka dipb addrb web enb ssrb clkb 18-kbit block selectram ds031_11_102000 dob doa dia dib x-ref target - figure 37 figure 37: write_first mode x-ref target - figure 38 figure 38: read_first mode clk we data_in data_in new aa address internal memory do data_out = data_in data_out di ds083-2_14_050901 new ram contents new old clk we data_in data_in new aa old address internal memory do prior stored data data_out di ds083-2_13_050901 ram contents new old
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 31 r product not recommen ded for new designs ? no_change the no_change option maintains the content of the output registers, regardless of the write operation. the clock edge during the write mode has no effect on the content of the data output register do. when the port is configured as no_change, only a read operation loads a new value in the output register do, as shown in figure 39 . control pins and attributes virtex-ii pro selectram+ memory has two independent ports with the control signals described in ta bl e 17 . all control inputs including the clock have an optional inversion. initial memory content is determined by the init_xx attributes. separate attributes determine the output register value after device configuration (init) and ssr is asserted (srval). both attributes (init_b and srval) are available for each port when a block selectram+ resource is configured as dual-port ram. total amount of selectram+ memory virtex-ii pro selectram+ memory blocks are organized in multiple columns. the number of blocks per column depends on the row size, the number of processor blocks, and the number of rocketio transceivers. ta bl e 18 shows the number of columns as well as the total amount of block selectram+ memory available for each virtex-ii pro device. the 18 kb selectram+ blocks are cascadable to implement deeper or wider single- or dual-port memory resources. figure 40 shows the layout of the block ram columns in the xq2vp4 device. x-ref target - figure 39 figure 39: no_change mode ta bl e 1 7 : control functions control signal function clk read and write clock en enable affects read, write, set, reset we write enable ssr set do register to srval (attribute) clk we data_in data_in new aa last read cycle content (no change) address internal memory do no change during write data_out di ds083-2_12_050901 ram contents new old table 18: virtex-ii pro selectra m+ memory available device columns total selectram+ memory blocks in kb in bits xq2vp40 10 192 3,456 3,538,944 XQ2VP70 14 328 5,904 6,045,696 x-ref target - figure 40 figure 40: xq2vp4 block ram column layout bram multiplier blocks ppc405 cpu clbs clbs clbs clbs clbs ds083-2_11_010802 tm rocketio serial transceivers tm rocketio serial transceivers dcm dcm dcm dcm
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 32 r product not recommen ded for new designs 18-bit x 18-bit multipliers introduction a virtex-ii pro multiplier block is an 18-bit by 18-bit 2?s complement signed multiplier. virtex-ii pro devices incorporate many embedded multiplier blocks. these multipliers can be associated with an 18 kb block selectram+ resource or can be used independently. they are optimized for high-speed operations and have a lower power consumption compared to an 18-bit x 18-bit multiplier in slices. each selectram+ memory and multiplier block is tied to four switch matrices, as shown in figure 41 . association with block selectram+ memory the interconnect is designed to allow selectram+ memory and multiplier blocks to be used at the same time, but some interconnect is shared between the selectram+ and the multiplier. thus, selectram+ memory can be used only up to 18 bits wide when the multiplier is used, because the multiplier shares inputs with the upper data bits of the selectram+ memory. this sharing of the interconnect is optimized for an 18-bit- wide block selectram+ resource feeding the multiplier. the use of selectram+ memory and the multiplier with an accumulator in luts allows for implementation of a digital signal processor (dsp) multiplier-accumulator (mac) function, which is commonly used in finite and infinite impulse response (fir and iir) digital filters. configuration the multiplier block is an 18-b it by 18-bit signed multiplier (2's complement). both a and b are 18-bit-wide inputs, and the output is 36 bits. figure 42 shows a multiplier block. locations / organization multiplier organization is identical to the 18 kb selectram+ organization, because each multiplier is associated with an 18 kb block selectram+ resource. in addition to the built-in multiplier blocks, the clb elements have dedicated logic to implement efficient multipliers in logic. (refer to "configurable logic blocks (clbs)," page 20 ). global clock multiplexer buffers virtex-ii pro devices have 16 clock input pins that can also be used as regular user i/os. eight clock pads center on both the top edge and the bottom edge of the device, as illustrated in figure 43 . the global clock multiplexer buffer represents the input to dedicated low-skew clock tree distribution in virtex-ii pro devices. like the clock pads, eight global clock multiplexer buffers are on the top edge of the device and eight are on the bottom edge. x-ref target - figure 41 figure 41: selectram+ and multiplier blocks switch matrix switch matrix 18-kbit block selectram 18 x 18 multiplier switch matrix switch matrix ds031_33_101000 x-ref target - figure 42 figure 42: multiplier block table 19: multiplier resources device columns total multipliers xq2vp40 10 192 XQ2VP70 14 328 x-ref target - figure 43 figure 43: virtex-ii pro clock pads mult 18 x 18 a[17:0] p[35:0] b[17:0] multiplier block ds031_40_100400 8 clock pads 8 clock pads virtex-ii pro device ds083-2_42_052902
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 33 r product not recommen ded for new designs each global clock multiplexer buffer can be driven either by the clock pad to distribute a clock directly to the device, or by the digital clock manager (dcm), discussed in "digital clock manager (dcm)," page 35 . each global clock multiplexer buffer can also be driven by local interconnects. the dcm has clock output(s) that can be connected to global clock multiplexer buffer inputs, as shown in figure 44 . global clock buffers are used to distribute the clock to some or all synchronous logic elements (such as registers in clbs and iobs, and selectram+ blocks. eight global clocks can be used in each quadrant of the virtex-ii pro device. designers should consider the clock distribution detail of the device prior to pin-locking and floorplanning (see the virtex-ii pro platform fpga user guide). figure 45 shows clock distribution in virtex-ii pro devices. in each quadrant, up to eight clocks are organized in clock rows. a clock row supports up to 16 clb rows (eight up and eight down). to reduce power consumption, any unused clock branches remain static. x-ref target - figure 44 figure 44: virtex-ii pro clock multiplexer buffer configuration x-ref target - figure 45 figure 45: virtex-ii pro clock distribution clock pad local interconnect clock pad clock buffer clock multiplexer i o clock distribution clkin clkout dcm ds083-2_43_122001 8 8 8 8 nw ne sw se ds083-2_45_122001 8 bufgmux 8 max 8 bufgmux 16 clocks nw ne sw se 8 bufgmux 8 bufgmux 16 clocks
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 34 r product not recommen ded for new designs global clocks are driven by dedicated clock buffers (bufg), which can also be used to gate the clock (bufgce) or to multiplex between two independent clock inputs (bufgmux). the most common configuration option of this element is as a buffer. a bufg function in this (global buffer) mode, is shown in figure 46 . the virtex-ii pro global clock buffer bufg can also be configured as a clock enable/disable circuit ( figure 47 ), as well as a two-input clock multiplexer ( figure 48 ). a functional description of these two options is provided below. each of them can be used in either of two modes, selected by configuration: rising clock edge or falling clock edge. this section describes the rising clock edge option. for the opposite option, falling clock ed ge, just change all "rising" references to "falling" and all "high" references to "low", except for the description of the ce and s levels. the rising clock edge option uses the bufgce and bufgmux primitives. the falling clock edge option uses the bufgce_1 and bufgmux_1 primitives. bufgce if the ce input is active (high) prior to the incoming rising clock edge, this low-to-high-to-low clock pulse passes through the clock buffer. any level change of ce during the incoming clock high time has no effect. if the ce input is inactive (low) prior to the incoming rising clock edge, the following clock pulse does not pass through the clock buffer, and the output stays low. any level change of ce during the incoming clock high time has no effect. ce must not change during a short setup window just prior to the rising clock edge on the bufgce input i. violating this setup time requirement can result in an undefined runt pulse output. bufgmux bufgmux can switch between two unrelated, even asynchronous clocks. basically , a low on s selects the i 0 input, a high on s selects the i 1 input. switching from one clock to the other is done in such a way that the output high and low time is never shorter than the shortest high or low time of either input clock. as long as the presently selected clock is high, any level change of s has no effect. if the presently selected clock is low while s changes, or if it goes low after s has changed, the output is kept low until the other ("to-be-selected") clock has made a transition from high to low. at that instant, the new clock starts driving the output. the two clock inputs can be asynchronous with regard to each other, and the s input can change at any time, except for a short setup time prior to the rising edge of the presently selected clock (i0 or i1). violating this setup time requirement can result in an undefined runt pulse output. all virtex-ii pro devices have 16 global clock multiplexer buffers. figure 49 shows a switchover from i0 to i1: ? the current clock is clk0. ? s is activated high. ? if clk0 is currently high, th e multiplexer waits for clk0 to go low. ? once clk0 is low, the multiplexer output stays low until clk1 transitions high to low. ? when clk1 transitions from high to low, the output switches to clk1. ? no glitches or short pulses can appear on the output. x-ref target - figure 46 figure 46: virtex-ii pro bufg function x-ref target - figure 47 figure 47: virtex-ii pro bufgce function x-ref target - figure 48 figure 48: virtex-ii pro bufgmux function o i bufg ds031_61_101200 o i ce bufgce ds031_62_101200 o i 0 i 1 s bufgmux ds083-2_63_121701 x-ref target - figure 49 figure 49: clock multiplexer waveform diagram s i0 i1 out wait for low switch ds083-2_46_020604
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 35 r product not recommen ded for new designs local clocking in addition to global clocks, there are local clock resources in the virtex-ii pro devices. there are more than 72 local clocks in the virtex-ii pro family. these resources can be used for many different applications, including but not limited to memory interfaces. for example, even using only the left and right i/o banks, virtex-ii pro fpgas can support up to 50 local clocks for ddr sdram. these interfaces can operate beyond 200 mhz on virtex-ii pro devices. digital clock manager ( dcm ) the virtex-ii pro dcm offers a wide range of powerful clock management features. ? clock deskew : the dcm generates new system clocks (either internally or externally to the fpga), which are phase-aligned to the input clock, thus eliminating clock distribution delays. ? frequency synthesis : the dcm generates a wide range of output clock frequencies, performing very flexible clock multiplication and division. ? phase shifting : the dcm provides both coarse phase shifting and fine-grained phase shifting with dynamic phase shift control. the dcm utilizes fully digital delay lines allowing robust high-precision control of clock phase and frequency. it also utilizes fully digital feedback systems, operating dynamically to compensate for temperature and voltage variations during operation. up to four of the nine dcm clock outputs can drive inputs to global clock buffers or global clock multiplexer buffers simultaneously (see figure 50 ). all dcm clock outputs can simultaneously drive general routing resources, including routes to output buffers. the dcm can be configured to delay the completion of the virtex-ii pro configuration process until after the dcm has achieved lock. this guarantees that the chip does not begin operating until after the system clocks generated by the dcm have stabilized. the dcm has the following general control signals: ? rst input pin : resets the entire dcm ? locked output pin: asserted high when all enabled dcm circuits have locked. ? status output pins (active high): shown in table 20 . clock deskew the dcm deskews the output clocks relative to the input clock by automatically adjusting a digital delay line. additional delay is introduced so that clock edges arrive at internal registers and block rams simultaneously with the clock edges arriving at the input clock pad. alternatively, external clocks, which are also deskewed relative to the input clock, can be generated for board-level routing. all dcm output clocks are phase-aligned to clk0 and, therefore, are also phase-aligned to the input clock. to achieve clock deskew, connect the clkfb input to clk0. note that clkfb must always be connected, unless only the clkfx or clkfx180 outputs are used and deskew is not required. frequency synthesis the dcm provides flexible methods for generating new clock frequencies. each method has a different operating frequency range and different ac characteristics. the clk2x and clk2x180 outputs double the clock frequency. the clkdv output creates divided output clocks with division options of 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 5, 5.5, 6, 6.5, 7, 7.5, 8, 9, 10, 11, 12, 13, 14, 15, and 16. the clkfx and clkfx180 outputs can be used to produce clocks at the following frequency: where m and d are two integers. x-ref target - figure 50 figure 50: digital clock manager clkin clkfb clk180 clk270 clk0 clk90 clk2x clk2x180 clkdv dcm ds031_67_112900 clkfx clkfx180 locked status[7:0] psdone rst dssen psincdec psen psclk clock signal control signal table 20: dcm status pins status pin function 0 phase shift overflow 1 clkin stopped 2 clkfx stopped 3n/a 4n/a 5n/a 6n/a 7n/a freq clkfx md ? ?? freq clkin ? =
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 36 r product not recommen ded for new designs specifications for m and d are provided under "dcm timing parameters" in "dc and switching characteristics" (module 3) . by default, m = 4 and d = 1, which results in a clock output frequency four times faster than the clock input frequency (clkin). clk2x180 is phase shifted 180 degrees relative to clk2x. clkfx180 is phase shifted 180 degrees relative to clkfx. all frequency synthesis outputs automatically have 50/50 duty cycles, with the exception of the clkdv output when performing a non-integer divide in high-frequency mode. see ta bl e 21 for more details. note: note clk2x and clk2x180 are not available in high- frequency mode. phase shifting the dcm provides additional control over clock skew through either coarse or fine-grained phase shifting. the clk0, clk90, clk180, and clk270 outputs are each phase shifted by ? of the input clock period relative to each other, providing coarse phase control. note that clk90 and clk270 are not available in high-frequency mode. fine-phase adjustment affects all nine dcm output clocks. when activated, the phase shift between the rising edges of clkin and clkfb is a specified fraction of the input clock period. in variable mode, the phase_shift value can also be dynamically incremented or decremented as determined by psincdec synchronously to psclk, when the psen input is active. figure 51 illustrates the effects of fine-phase shifting. for more information on dcm features, see the virtex-ii pro platform fpga user guide . ta b l e 22 lists fine-phase shifting control pins, when used in variable mode. two separate components of the phase shift range must be understood: ? phase_shift attribute range ? fine_shift_range dcm timing parameter range the phase_shift attribute is the numerator in the following equation: phase shift (ns) = ( phase_shift /256) * period clkin the full range of this attribute is always ?255 to +255, but its practical range varies with clkin frequency, as constrained by the fine_shift_range component, which represents the total delay achievable by the phase shift delay line. total delay is a function of the number of delay taps used in the circuit. across process, voltage, and temperature, this absolute range is guaranteed to be as specified under "dcm timing parameters" in "dc and switching characteristics" (module 3) . ta bl e 2 1 : clkdv duty cycle for non-integer divides clkdv_divide duty cycle 1.5 1/ 3 2.5 2 / 5 3.5 3 / 7 4.5 4 / 9 5.5 5 / 11 6.5 6 / 13 7.5 7 / 15 table 22: fine phase shifting control pins control pin direction function psincdec in increment or decrement psen in enable phase shift psclk in clock for phase shift psdone out active when completed x-ref target - figure 51 figure 51: fine-phase shifting effects clkout_phase_shift = fixed clkout_phase_shift = variable clkout_phase_shift = none clkin clkfb clkin clkin clkfb (ps/256) x period clkin (ps negative) (ps/256) x period clkin (ps positive) clkfb (ps/256) x period clkin (ps negative) (ps/256) x period clkin (ps positive) ds031_48_110300
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 37 r product not recommen ded for new designs absolute range (fixed mode) = fine_shift_range absolute range (variable mode) = fine_shift_range /2 the reason for the difference between fixed and variable modes is as follows. for variable mode to allow symmetric, dynamic sweeps from -255/256 to +255/256, the dcm sets the "zero phase skew" point as the middle of the delay line, thus dividing the total delay line range in half. in fixed mode, since the phase_shift value never changes after configuration, the entire delay line is available for insertion into either the clkin or clkfb path (to create either positive or negative skew). taking both of these components into consideration, the following are some usage examples: ? if period clkin = 2 * fine_shift_range , then phase_shift in fixed mode is limited to 128, and in variable mode it is limited to 64. ? if period clkin = fine_shift_range , then phase_shift in fixed mode is limited to 255, and in variable mode it is limited to 128. ? if period clkin ? 0.5 * fine_shift_range , then phase_shift is limited to 255 in either mode. operating modes the frequency ranges of dcm input and output clocks depend on the operating mode specified, either low- frequency mode or high-frequency mode, according to ta b l e 23 . for actual values, see "dc and switching characteristics" (module 3) . the clk2x, clk2x180, clk90, and clk270 outputs are not available in high- frequency mode. high or low-frequency mode is selected by an attribute. ta bl e 2 3 : dcm frequency ranges output clock low-frequency mode high-frequency mode clkin input clk output clkin input clk output clk0, clk180 clkin_freq_dll_lf clkout_freq_ 1x_lf clkin_freq_dll_hf clkout_freq_1x_hf clk90, clk270 clkin_freq_d ll_lf clkout_freq_1x_lf na na clk2x, clk2x180 clkin_freq_dll_lf clkout_freq_2x_lf na na clkdv clkin_freq_dll_lf clkout_freq_dv_l f clkin_freq_dll_hf clkout_freq_dv_hf clkfx, clkfx180 clkin_freq_f x_lf clkout_freq_fx_lf clkin_f req_fx_hf clkout_freq_fx_hf
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 38 r product not recommen ded for new designs routing dcm locations/organization virtex-ii pro dcms are placed on the top and bottom of each block ram and multiplier column in some combination, as shown in ta bl e 24 . the number of dcms total twice the number of block ram columns in the device. refer to figure 40, page 31 for an illustration of this in the xq2vp4 device. place-and-route software takes advantage of this regular array to deliver optimum system performance and fast compile times. the segmented routing resources are essential to guarantee ip core s portability and to efficiently handle an incremental design flow that is based on modular implementations. total design time is reduced due to fewer and shorter design iterations. hierarchical routing resources most virtex-ii pro signals are routed using the global routing resources, which are located in horizontal and vertical routing channels between each switch matrix. as shown in figure 52, page 39 , virtex-ii pro has fully buffered programmable interconnections, with a number of resources counted between any two adjacent switch matrix rows or columns. fanout has minimal impact on the performance of each net. ? the long lines are bidirectional wires that distribute signals across the device. vertical and horizontal long lines span the full height and width of the device. ? the hex lines route signals to every third or sixth block away in all four directions. organized in a staggered pattern, hex lines can only be driven from one end. hex-line signals can be accessed either at the endpoints or at the midpoint (three blocks from the source). ? the double lines route signals to every first or second block away in all four directions. organized in a staggered pattern, double lines can be driven only at their endpoints. double-line signals can be accessed either at the endpoints or at the midpoint (one block from the source). ? the direct connect lines route signals to neighboring blocks: vertically, horizontally, and diagonally. ? the fast connect lines are the internal clb local interconnections from lut outputs to lut inputs. dedicated routing in addition to the global and local routing resources, dedicated signals are available. ? there are eight global clock nets per quadrant. (see "global clock multiplexer buffers," page 32 .) ? horizontal routing resources are provided for on-chip three-state buses. four partitionable bus lines are provided per clb row, permitting multiple buses within a row. (see "three-state buffers," page 28 .) ? two dedicated carry-chain resources per slice column (two per clb column) propagate carry-chain muxcy output signals vertically to the adjacent slice. (see "clb/slice configurations," page 28 .) ? one dedicated sop chain per slice row (two per clb row) propagate orcy output logic signals horizontally to the adjacent slice. (see "sum of products," page 24 .) ? one dedicated shift-chain per clb connects the output of luts in shift-register mode to the input of the next lut in shift-register mode (vertically) inside the clb. (see "shift registers," page 23 .) ta bl e 2 4 : dcm organization device block ram columns dcms xq2vp40 10 8 XQ2VP70 14 8
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 39 r product not recommen ded for new designs x-ref target - figure 52 figure 52: hierarchical routing resources 24 horizontal long lines 24 vertical long lines 120 horizontal hex lines 120 vertical hex lines 40 horizontal double lines 40 vertical double lines 16 direct connections (total in all four directions) 8 fast connects ds031_60_110200
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 40 r product not recommen ded for new designs configuration virtex-ii pro devices are configured by loading application specific configuration data into the internal configuration memory. configuration is carried out using a subset of the device pins, some of which are dedicated, while others can be re-used as general purpose inputs and outputs once configuration is complete. depending on the system design, several configuration modes are supported, selectable via mode pins. the mode pins m2, m1, and m0 are dedicated pins. the m2, m1, and m0 mode pins should be set at a constant dc voltage level, either through pull-up or pull-down resistors, or tied directly to ground or v ccaux . the mode pins should not be toggled during and after configuration. an additional pin, hswap_en is used in conjunction with the mode pins to select whether user i/o pins have pull-ups during configuration. by default, hswap_en is tied high (internal pull-up) which shuts off the pull-ups on the user i/o pins during configuration. when hswap_en is tied low, user i/os have pull-ups during configuration. other dedicated pins are cclk (the configuration clock pin), done, prog_b, and the boundary-scan pins: tdi, tdo, tms, and tck. (the tdo pin is open-drain and does not have an internal pull-up resistor.) depending on the configuration mode chosen, cclk can be an output generated by the fpga, or an input accepting an externally generated clock. the configuration pins and boundary- scan pins are independent of the v cco . the auxiliary power supply (v ccaux ) of 2.5v is used for these pins. all configuration pins are lvcmos25 12ma. see "dc and switching characteristics" (module 3) . a "persist" option is available which can be used to force the configuration pins to retain their configuration function even after device configuration is complete. if the persist option is not selected then the configuration pins with the exception of cclk, prog_b, and done can be used as user i/o in normal operation. the persist option does not apply to the boundary-scan related pins. the persist feature is valuable in applications which employ partial reconfiguration or reconfiguration on the fly. configuration modes virtex-ii pro supports five configuration modes: ? "slave-serial mode" ? "master-serial mode" ? "slave selectmap mode" ? "master selectmap mode" ? "boundary-scan (jtag, ieee 1532) mode" refer to ta b l e 26, page 41 . a detailed description of configur ation modes is provided in the virtex-ii pro platform fpga user guide. slave-serial mode in slave-serial mode, the fpga receives configuration data in bit-serial form from a serial prom or other serial source of configuration data. the cclk pin on the fpga is an input in this mode. the serial bitstream must be setup at the din input pin a short time before each rising edge of the externally generated cclk. multiple fpgas can be daisy-chained for configuration from a single source. after a particular fpga has been configured, the data for the next device is routed internally to the dout pin. the data on the dout pin changes on the falling edge of cclk. slave-serial mode is selected by applying [ 111 ] to the mode pins (m2, m1, m0). a weak pull-up on the mode pins makes slave serial the default mode if the pins are left unconnected. master-serial mode in master-serial mode, the cclk pin is an output pin. it is the virtex-ii pro fpga device that drives the configuration clock on the cclk pin to a xilinx serial prom which in turn feeds bit-serial data to the din input. the fpga accepts this data on each rising cclk edge. after the fpga has been loaded, the data for the next device in a daisy-chain is presented on the dout pin after the falling cclk edge. the interface is identical to slave serial except that an internal oscillator is used to generate the configuration clock (cclk). a wide range of frequencies can be selected for cclk which always starts at a slow default frequency. configuration bits then switch cclk to a higher frequency for the remainder of the configuration. slave selectmap mode the selectmap mode is the fastest configuration option. byte-wide data is written into the virtex-ii pro fpga device with a busy flag controlling the flow of data. an external data source provides a byte stream, cclk, an active low chip select (cs_b) signal and a write signal (rdwr_b). if busy is asserted (high) by the fpga, the data must be held until busy goes low. data can also be read using the selectmap mode. if rdwr_b is asserted, configuration data is read out of the fpga as part of a readback operation. after configuration, the pins of the selectmap port can be used as additional user i/o. alternatively, the port can be retained to permit high-speed 8-bit readback using the persist option. multiple virtex-ii pro fpgas can be configured using the selectmap mode, and be made to start-up simultaneously. to configure multiple devices in this way, wire the individual cclk, data, rdwr_b, and busy pins of all the devices in
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 41 r product not recommen ded for new designs parallel. the individual devices are loaded separately by deasserting the cs_b pin of each device in turn and writing the appropriate data. master selectmap mode this mode is a master version of the selectmap mode. the device is configured byte-wide on a cclk supplied by the virtex-ii pro fpga device. timing is similar to the slave serialmap mode except that cclk is supplied by the virtex-ii pro fpga. boundary-scan (jtag, ieee 1532) mode in boundary-scan mode, dedicated pins are used for configuring the virtex-ii pro device. the configuration is done entirely through the i eee 1149.1 test access port (tap). virtex-ii pro device configuration using boundary- scan is compliant with i eee 1149.1-1993 st andard and the new ieee 1532 standard for in -system configurable (isc) devices. the ieee 1532 standard is backward compliant with the ieee 1149.1-1993 tap and state ma chine. the ieee standard 1532 for in-system configurable (isc) devices is intended to be programmed, reprogrammed, or tested on the board via a physical and logical protocol. configuration through the boundary-scan port is always available, independent of the mode selection. selecting the boundary-scan mode simply turns off the other modes. ta b l e 25 lists the default total number of bits required to configure each device. configuration sequence the configuration of virtex-ii pro devices is a three-phase process. first, the configuration memory is cleared. next, configuration data is loaded into the memory, and finally, the logic is activated by a start-up process. configuration is automatically initiated on power-up unless it is delayed by the user. the init_b pin can be held low using an open-drain driver. an open-drain is required since init_b is a bidirectional open-drain pin that is held low by a virtex-ii pro fpga device while the configuration memory is being cleared. extending the time that the pin is low causes the configuration sequencer to wait. thus, configuration is delayed by preventing entry into the phase where data is loaded. the configuration process can also be initiated by asserting the prog_b pin. the end of the memory-clearing phase is signaled by the init_b pin going high, and the completion of the entire process is signaled by the done pin going high. the global set/reset (gsr) signal is pulsed after the last frame of configuration data is written but before the start-up sequence. the gsr signal resets all flip-flops on the device. the default start-up sequence is that one cclk cycle after done goes high, the global three-state signal (gts) is released. this permits device outputs to turn on as necessary. one cclk cycle later, the global write enable (gwe) signal is released. this permits the internal storage elements to begin changing state in response to the logic and the user clock. the relative timing of these events can be changed via configuration options in software. in addition, the gts and gwe events can be made dependent on the done pins of multiple devices all going high, forcing the devices to start synchronously. the sequence can also be paused at any stage, until lock has been achieved on any or all dcms, as well as dci. table 25: virtex-ii pro default bitstream lengths device number of configuration bits xq2vp40 15,868,192 XQ2VP70 26,098,976 ta bl e 2 6 : virtex-ii pro configuration mode pin settings configuration mode (1) m2 m1 m0 cclk direction data width serial d out (2) master serial 0 0 0 out 1 yes slave serial 1 1 1 in 1 yes master selectmap 0 1 1 out 8 no slave selectmap 1 1 0 in 8 no boundary-scan 1 0 1 n/a 1 no notes: 1. the hswap_en pin controls the pull-ups. setting m2, m1, and m0 selects the configuration mode, while the hswap_en pin control s whether or not the pull-ups are used. 2. daisy chaining is possible only in modes where serial d out is used. for example, in selectmap modes, the first device does not support daisy chaining of downstream devices.
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 42 r product not recommen ded for new designs readback in this mode, configuration data from the virtex-ii pro fpga device can be read back. readback is supported only in the selectmap (master and slave) and boundary-scan mode. along with the configuration data, it is possible to read back the contents of all registers, distributed selectram+, and block ram resources. this capa bility is used for real-time debugging. for more detailed configuration information, see the virtex-ii pro platform fpga user guide. bitstream encryption virtex-ii pro devices have an on-chip decryptor using one or two sets of three keys for triple-key data encryption standard (des) operat ion. xilinx software tools offer an optional encryption of the configuration data (bitstream) with a triple-key des determined by the designer. the keys are stored in the fpga by jtag instruction and retained by a battery connected to the v batt pin, when the device is not powered. virtex-ii pro devices can be configured with the corresponding encrypted bitstream, using any of the configuration modes described previously. a detailed description of how to use bitstream encryption is provided in the virtex-ii pro platform fpga user guide. yo u r local fae can also provide specific information on this feature. partial reconfiguration partial reconfiguration of virtex-ii pro devices can be accomplished in either slave selectmap mode or boundary-scan mode. instead of resetting the chip and doing a full configuration, new data is loaded into a specified area of the chip, while the re st of the chip remains in operation. data is loaded on a column basis, with the smallest load unit being a configuration ?frame? of the bitstream (device size dependent). partial reconfiguration is useful for applications that require different designs to be loaded into the same area of a chip, or that require th e ability to change portions of a design without having to reset or reconfigure the entire chip. for more information on partial reconfiguration in virtex-ii pro devices, please refer to xilinx application note xapp290 , two flows for partial reconfiguration . revision history this section records the change history for this module of the data sheet. qpro virtex-ii pro data sheet the qpro virtex-ii pro data sheet contains the following modules: ? "introduction and overview" (module 1) ? "functional descrip tion" (module 2) ? "dc and switching characteristics" (module 3) ? "pinout information" (module 4) date version revision 11/29/06 1.0 initial xilinx release. 12/20/07 2.0 ? change data sheet title. ? added support for XQ2VP70-6ef1704i. ? removed support for xqv2p70-6mf1704i. ? updated document template. ? updated urls. 07/25/11 2.1 added product not recommended for new designs banner.
functional description ds136-2 (v2.1) july 25, 2011 www.xilinx.com module 2 of 4 product specification 43 r product not recommen ded for new designs notice of disclaimer the xilinx hardware fpga and cpld devices referred to herein (?products?) are subject to the terms and conditions of the xilinx limite d warranty which can be viewed at http://www.xilinx.com/warranty.htm . this limited warranty does not extend to any use of products in an application or environment that is not within the specifications stated in the xilinx data sheet. all specifications are subject to change without notice. products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance, such as life-support or safety devic es or systems, or any other application that invokes the potential risks of death, personal injury, or property or environmental damage (?critical applications?). use of products in critical applications is at the sole risk of customer, subject to applicable laws and regulations.
ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 1 ? 2006?2011 xilinx, inc. all rights reserved. xilinx, the xilinx logo, the brand window, and other designated brands included h erein are trademarks of xilinx, inc. all other trademarks are the property of their respective owners. product not recommen ded for new designs qpro virtex-ii pro electrical characteristics the qpro virtex?-ii pro platform fpgas are provided in - 6 and -5 speed grades. qpro virtex-ii pro dc and ac characteristics are specified for both commercial and industrial grades. except the operating temperature range or unless otherwise noted, all the dc and ac electrical parameters are the same for a particular speed grade (that is, the timing characteristics of a -6 speed grade industrial device are the same as for a -6 speed grade commercial device). however, only selected speed grades and/or devices might be available in the industrial range. all supply voltage and junction temperature specifications are representative of worst-case conditions. the parameters included are common to popular designs and typical applications. contact x ilinx for design considerations requiring more detailed information. all specifications are subject to change without notice. qpro virtex-ii pro dc characteristics 3 6 qpro virtex-ii pro 1.5v platform fpgas: dc and switching characteristics ds136-3 (v2.1) july 25, 2011 product specification r ta bl e 1 : absolute maximum ratings symbol description (1) virtex-ii pro units v ccint internal supply voltage relative to gnd ?0.5 to 1.6 v v ccaux auxiliary supply voltage relative to gnd ?0.5 to 3.0 v v cco output drivers supply voltage relative to gnd ?0.5 to 3.75 v v batt key memory battery backup supply ?0.5 to 4.05 v v ref input reference voltage ?0.3 to 3.75 v v in 3.3v i/o input voltage relative to gnd (user and dedicated i/os) ?0.3 to 4.05 (4) v 2.5v or below i/o input voltage relative to gnd (user and dedicated i/os) ?0.5 to v cco + 0.5 v v ts voltage applied to three-state 3.3v output (user and dedicated i/os) ?0.3 to 4.05 (4) v voltage applied to three-state 2.5v or below output (user and dedicated i/os) ?0.5 to v cco + 0.5 v av ccauxrx (2) receive auxiliary supply voltage relative to gnda (analog ground) ?0.5 to 3.0 v av ccauxtx (2) transmit auxiliary supply voltage relative to gnda (analog ground) ?0.5 to 3.0 v v trx terminal receive supply voltage relative to gnd ?0.5 to 3.0 v v ttx terminal transmit supply voltage relative to gnd ?0.5 to 3.0 v t stg storage temperature (ambient) ?65 to +150 ? c t sol maximum soldering temperature (3) all regular fg/ff flip-chip packages +220 ? c t j maximum junction temperature (3) +125 ? c notes: 1. stresses beyond those listed under absolute maximum ratings might cause permanent damage to the device. these are stress rati ngs only, and functional operation of the device at these or any other conditions beyond those listed under operating conditions is not i mplied. exposure to absolute maximum ratings conditions for extended periods of time might affect device reliability. 2. rocketio? multi-gigabit transceivers (mgts) ar e not supported in qpro virtex-ii pro fpgas. 3. for soldering guidelines and thermal considerations, see the ug112 , device packaging and thermal characteristics guide, information on the xilinx website. 4. 3.3v i/o absolute maximum limit applied to dc and ac signals. refer to xapp659 , virtex-ii pro / virtex-ii pro x 3.3v i/o design guidelines for more details.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 2 r product not recommen ded for new designs ta bl e 2 : recommended operating conditions symbol description virtex-ii pro fpgas units min max v ccint internal supply voltage relative to gnd 1.425 1.575 v v ccaux (1) auxiliary supply voltage relative to gnd 2.375 2.625 v v cco (2,3) supply voltage relative to gnd 1.2 3.45 (5) v v in 3.3v supply voltage relative to gnd gnd ? 0.2 3.45 (5) v 2.5v and below supply voltage relative to gnd gnd ? 0.2 v cco + 0.2 v v batt (4) battery voltage relative to gnd 1.0 3.6 v av ccauxrx (6,7) auxiliary receive supply voltage relative to gnda 2.375 2.625 v av ccauxtx (6,7) auxiliary transmit supply voltage relative to gnda 2.375 2.625 v v trx terminal receive supply voltage relative to gnd 1.6 2.625 v v ttx terminal transmit supply voltage relative to gnd 1.6 2.625 v notes: 1. recommended maximum voltage droop for v ccaux is 10 mv/ms. 2. configuration data is retained even if v cco drops to 0v. 3. for 3.3v i/o operation, refer to virtex-ii pro / virtex-ii pro x 3.3v i/o design guidelines, available on the xilinx website at www.xilinx.com . 4. if battery is not used, connect vbatt to gnd or vccaux. 5. for pci and pci-x, refer to xapp653 , 3.3v pci design guidelines, available on the xilinx website at www.xilinx.com . 6. rocketio multi-gigabit transceivers (mgts) ar e not supported in qpro virtex-ii pro fpgas 7. caution! the rocketio transceivers have certain power guidelines that must be met, even if unused. refer to the section entitled ?poweri ng the rocketio transceivers? in the ug024 , rocketio ? transceiver user guide, for more details. ta bl e 3 : dc characteristics over recommended operating conditions symbol description virtex-ii pro fpgas units min typ max v drint data retention v ccint voltage (below which config uration data might be lost) 1.25 v v dri data retention v ccaux voltage (below which configuration data might be lost) 2.0 v i ref v ref current per pin 10 ? a i l input or output leakage current per pin (sample-tested) 10 ? a c in input capacitance (sample-tested) 10 pf i rpu pad pull-up (when selected) @ v in = 0v, v cco = 2.5v (sample tested) 150 ? a i rpd pad pull-down (when selected) @ v in = 2.5v (sample-tested) 150 ? a i batt (1) battery supply current note (2) na i ccauxtx operating av ccauxtx supply current 60 ma i ccauxrx operating av ccauxrx supply current 35 ma i ttx operating i ttx supply current when transmitter is ac-coupled 30 ma operating i ttx supply current when tran smitter is dc-coupled 15 ma i trx operating i trx supply current when receiver is ac-coupled 0 ma operating i trx supply current when receiver is dc-coupled 15 ma p cpu power dissipation of powerpc ? 405 processor block 0.9 mw/ mhz notes: 1. characterized, not tested. 2. for battery supply current (i batt ), see table 4, page 3 .
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 3 r product not recommen ded for new designs . power-on power supply requirements xilinx fpgas require a certain amount of supply current during power-on to insure proper device initialization. the actual current consumed depends on the power-on ramp rate of the power supply. the v ccint power supply must ramp on, monotonically, no faster than 200 ? s and no slower than 50 ms. ramp-on is defined as: 0 v dc to minimum supply voltages (see ta b l e 2 ). v ccaux and v cco can power on at any ramp rate. power supplies can be turned on in any sequence. ta bl e 6 shows the maximum current required by qpro virtex-ii pro devices for proper power-on and configuration. once initialized and configured, use the power calculator to estimate current drain on these supplies. for more information on v ccaux , v cco , and configuration mode, refer to chapter 3 in the virtex-ii pro platform fpga user guide . general power supply requirements proper decoupling of all fpga power supplies is essential. consult xapp623 , power distribution system (pds) design: using bypass/decoupling capacitors, for detailed information on power distribution system design. v ccaux powers critical resources in the fpga. therefore, this supply voltage is especially susceptible to power supply noise. v ccaux can share a power plane with v cco , but only if v cco does not have excessive noise. staying within simultaneously switching output (sso) limits is essential for keeping power supply noise to a minimum. refer to xapp689 , managing ground bounce in large fpgas , to determine the number of simultaneously switching outputs allowed per bank at the package level. changes in v ccaux voltage beyond 200 mv peak-to-peak should take place at a rate no faster than 10 mv per millisecond. recommended practices that can help reduce jitter and period distortion are descri bed in xilinx answer record 13756. ta bl e 4 : battery supply current temperature device unpowered device powered units ?55 ? c n/a < 170 na 25 ? c < 50 < 10 na 85 ? c n/a < 10 na 125 ? c n/a < 400 na ta bl e 5 : quiescent supply current symbol description device typ (1) max (4) units i ccintq quiescent v ccint supply current xq2vp40 60 1970 ma XQ2VP70 85 3190 ma i ccoq quiescent v cco supply current xq2vp40 1.25 18.5 ma XQ2VP70 1.25 22.5 ma i ccauxq quiescent v ccaux supply current xq2vp40 10 155 ma XQ2VP70 20 190 ma notes: 1. typical values are specified at nominal voltage, 25c. 2. with no output current loads, no active input pull-up resistors, all i/o pins are three-state and floating. 3. if dci or differential signaling is used, more accurate quiescent current estimates can be obtained by using the power estima tor or the xpower? tool. 4. all values shown reflect the military temperature operating range. for industrial temperature operating range values, refer t o ds083 , virtex- ii pro and virtex-ii pro x platform fpgas . ta bl e 6 : maximum power-on current for qpro virtex-ii pro devices symbol device units xq2vp40 XQ2VP70 i ccintmax 1970 3190 ma i cciomax 190 190 ma i ccauxmax 475 475 ma notes: 1. i ccomin values listed here apply to the entire device (all banks). 2. all values shown reflect the military temperature operating range. for industrial temperature operating range values, refer to virtex- ii pro and virtex-ii pro x platform fpgas .
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 4 r product not recommen ded for new designs selectio-ultra dc input and output levels values for v il and v ih are recommended input voltages. values for i ol and i oh are guaranteed over the recommended operating conditions at the v ol and v oh test points. only selected standards are tested. these are chosen to ensure that all standards meet their specifications. the selected standards are tested at minimum v cco with the respective v ol and v oh voltage levels shown. other standards are sample tested. ldt dc specifications (ldt_25) ) ta bl e 7 : dc input and output levels iostandard attribute v il v ih v ol v oh i ol i oh v, min v, max v, min v, max v, max v, min ma ma lvttl ?0.2 0.8 2.0 3.45 0.4 2.4 24 ?24 lvcmos33 ?0.2 0.8 2.0 3.45 0.4 v cco ? 0.4 24 ?24 lvcmos25 ?0.2 0.7 1.7 v cco + 0.4 0.4 v cco ? 0.4 24 ?24 lvcmos18 ?0.2 30% v cco 70% v cco v cco + 0.4 0.4 v cco ? 0.45 16 ?16 lvcmos15 ?0.2 30% v cco 70% v cco v cco + 0.4 0.4 v cco ? 0.45 16 ?16 pci33_3 ?0.2 30% v cco 50% v cco 3.6 10% v cco 90% v cco pci66_3 ?0.2 30% v cco 50% v cco 3.6 10% v cco 90% v cco pcix ?0.2 note (1) note (1) note (1) note (1) note (1) note (1) note (1) gtlp ?0.2 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.6 n/a 36 n/a gtl ?0.2 v ref ? 0.05 v ref + 0.05 v cco + 0.4 0.4 n/a 40 n/a hstl_i ?0.2 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.4 (2) v cco ? 0.4 8 (2) ?8 (2) hstl_ii ?0.2 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.4 (2) v cco ? 0.4 16 (2) ?16 (2) hstl_iii ?0.2 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.4 (2) v cco ? 0.4 24 (2) ?8 (2) hstl_iv ?0.2 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.4 (2) v cco ? 0.4 48 (2) ?8 (2) sstl2_i ?0.2 v ref ? 0.15 v ref + 0.15 v cco + 0.3 v tt ? 0.61 v tt + 0.61 8.1 ?8.1 sstl2_ii ?0.2 v ref ? 0.15 v ref + 0.15 v cco + 0.3 v tt ? 0.81 v tt + 0.81 16.2 ?16.2 sstl18_i ?0.2 v ref ? 0.125 v ref + 0.125 v cco + 0.3 v tt ? 0.61 v tt + 0.61 6.7 ?6.7 sstl18_ii ?0.2 v ref ? 0.125 v ref + 0.125 v cco + 0.3 v tt ? 0.61 v tt + 0.61 13.4 ?13.4 notes: 1. tested according to relevant specifications. 2. this applies to 1.5v and 1.8v hstl. ta bl e 8 : ldt dc specifications dc parameter symbol conditions min typ max units supply voltage v cco 2.38 2.5 2.63 v differential output voltage v od r t = 100 ohm across q and q signals 495 600 715 mv change in v od magnitude ? v od ?15 15 mv output common mode voltage v ocm r t = 100 ohm across q and q signals 495 600 715 mv change in v os magnitude ? v ocm ?15 15 mv input differential voltage v id 200 600 1000 mv change in v id magnitude ? v id ?15 15 mv input common mode voltage v icm 440 600 780 mv change in v icm magnitude ? v icm ?15 15 mv
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 5 r product not recommen ded for new designs lvds dc specifications (lvds_25) extended lvds dc specifications (lvdsext_25) lvpecl dc specifications (lvpecl_25) these values are valid when driving a 100 ?? differential load only, i.e., a 100 ? resistor between the two receiver pins. the v oh levels are 200 mv below standard lvpec l levels and are compatib le with devices tolerant of lower common-mode ranges. ta bl e 1 1 summarizes the dc output specif ications of lvpecl. for more information on using lvpecl , see the virtex-ii pro platform fpga user guide . ta bl e 9 : lvds dc specifications dc parameter symbol conditions min typ max units supply voltage v cco 2.38 2.5 2.63 v output high voltage for q and q v oh r t = 100 ? across q and q signals 1.602 v output low voltage for q and q v ol r t = 100 ? across q and q signals 0.898 v differential output voltage (q ? q ), ? q = high (q ? q), q = high v odiff r t = 100 ? across q and q signals 247 350 454 mv output common-mode voltage v ocm r t = 100 ? across q and q signals 1.125 1.250 1.375 v differential input voltage (q ? q ), ? q = high (q ? q), q = high v idiff common-mode input voltage = 1.25v 100 350 600 mv input common-mode voltage v icm differential input voltage = ? 350 mv 0.3 1.2 2.2 v ta bl e 1 0 : extended lvds dc specifications dc parameter symbol conditions min typ max units supply voltage v cco 2.38 2.5 2.63 v output high voltage for q and q v oh r t = 100 ? across q and q signals 1.785 v output low voltage for q and q v ol r t = 100 ? across q and q signals 0.715 v differential output voltage (q ? q ), ? q = high (q ? q), q = high v odiff r t = 100 ? across q and q signals 440 820 mv output common-mode voltage v ocm r t = 100 ? across q and q signals 1.125 1.250 1.375 v differential input voltage (q ? q ), ? q = high (q ? q), q = high v idiff common-mode input voltage = 1.25v 100 1000 mv input common-mode voltage v icm differential input voltage = ? 350 mv 0.3 1.2 2.2 v ta bl e 1 1 : lvpecl dc specifications dc parameter v cco = 2.375v v cco = 2.5v v cco = 2.625v units min max min max min max v oh 1.35 1.495 1.475 1.62 1.6 1.745 v v ol 0.565 0.755 0.69 0.88 0.815 1.005 v v ih 0.8 2.0 0.8 2.0 0.8 2.0 v v il 0.5 1.7 0.5 1.7 0.5 1.7 v differential input voltag e 0.100 1.5 0.100 1.5 0.100 1.5 v
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 6 r product not recommen ded for new designs qpro virtex-ii pro switching characteristics switching characteristics are specified on a per-speed- grade basis and can be designated as advance, preliminary, or production. note that the qpro virtex-ii pro devices are only offered in production -6 and -5 speed grades. each designation is defined as follows: advance : these speed files are based on simulations only and are typically available soon after device design specifications are frozen. although speed grades with this designation are considered relatively stable and conservative, some under-reporting might still occur. preliminary : these speed files are based on complete es (engineering sample) silicon characterization. devices and speed grades with this designation are intended to give a better indication of the expected performance of production silicon. the probability of under -reporting delays is greatly reduced as compared to advance data. production : these speed files are released once enough production silicon of a particul ar device family member has been characterized to provide full correlation between speed files and devices over numerous production lots. there is no under-reporting of delays, and customers receive formal notification of any subsequent changes. typically, the slowest speed grades transition to production before faster speed grades. since individual family members are produced at different times, the migration from one category to another depends completely on the status of the fabrication process for each device. ta b l e 12 correlates the current status of each qpro virtex-ii pro device with a corresponding speed file designation. all specifications are always representative of worst-case supply voltage and junction temperature conditions. testing of switching characteristics all devices are 100% functionally tested. internal timing parameters are derived from measuring internal test patterns. listed below are representative values. for more specific, more precise, and worst-case guaranteed data, use the values reported by the static timing analyzer (trce in the xilinx development system) and back-annotate to the simulation net list. unless otherwise noted, values apply to all qpro virtex-ii pro devices. powerpc switching characteristics table 12: qpro virtex-ii pro device speed grade designations device speed grade designation production xq2vp40 -5 XQ2VP70 -6, -5 ta bl e 1 3 : processor clocks absolute ac characteristics description speed grade units -6 -5 max max cpmc405clock frequency 350 (3) 300 mhz jtagc405tck frequency (1) 175 150 mhz plbclk (2) 350 300 mhz bramdsocmclk (2) 350 300 mhz bramisocmclk (2) 350 300 mhz notes: 1. the theoretical maximum frequency of this clock is one-half the cpmc405clock. however, the achievable maximum is dependent on the system, and will be much less. 2. the theoretical maximum frequency of these clocks is equal to the cpmc405clock. however, the achievable maximum is dependent on the system. please see ug018 , powerpc 405 processor block reference guide, and xapp640, timing constraints for virtex-ii pro designs , for more information. 3. important! when cpmc405clock runs at s peeds greater than 350 mhz in -7 commercial grade dual-processor devices, or greater than 300 mhz in -6 industrial grade dual-processor devices, users must implement the technology presented in xapp755 , powerpc 405 clock macro for -7(c) and -6(i) speed grade dual-processor devices. refer to table 1, module 1 to identify dual-processor devices.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 7 r product not recommen ded for new designs ta bl e 1 4 : processor block switching characteristics description symbol speed grade units -6 -5 setup and hold relative to clock (cpmc405clock) device control register bus control inputs t pcck _dcr/t pckc _dcr 0.44/?0.20 0.48/?0.23 ns, min device control register bus data inputs t pdck _dcr/t pckd _dcr 0.75/?0.01 0.82/?0.02 ns, min clock and power management control inputs t pcck _cpm/t pckc _cpm 0.19/ 0.03 0.20/ 0.03 ns, min reset control inputs t pcck _rst/t pckc _rst 0.19/ 0.03 0.20/ 0.03 ns, min debug control inputs t pcck _dbg/t pckc _dbg 0.31/ 0.35 0.34/ 0.38 ns, min trace control inputs t pcck _trc/t pckc _trc 1.57/?0.48 1.73/?0.52 ns, min external interrupt controller control inputs t pcck _eic/t pckc _eic 0.66/?0.25 0.72/?0.27 ns, min clock to out device control register bus control outputs t pckco _dcr 1.52 1.67 ns, max device control register bus address outputs t pckao _dcr 1.98 2.17 ns, max device control register bus data outputs t pckdo _dcr 2.02 2.22 ns, max clock and power management control outputs t pckco _cpm 1.45 1.59 ns, max reset control outputs t pckco _rst 1.51 1.66 ns, max debug control outputs t pckco _dbg 2.22 2.44 ns, max trace control outputs t pckco _trc 1.56 1.71 ns, max clock cpmc405clock minimum pulse width, high t cpwh 1.42 1.66 ns, min cpmc405clock minimum pulse width, low t cpwl 1.42 1.66 ns, min ta bl e 1 5 : processor block plb switching characteristics description symbol speed grade units -6 -5 setup and hold relati ve to clock (plbclk) processor local bus(icu/dcu) control inputs t pcck _plb/t pckc _plb 1.12/ 0.21 1.23/ 0.23 ns, min processor local bus (icu/dcu) data inputs t pdck _plb/t pckd _plb 0.71/ 0.18 0.78/ 0.20 ns, min clock to out processor local bus(icu/dcu) control outputs t pckco _plb 1.54 1.69 ns, max processor local bus(icu/dcu) address bus outputs t pckao _plb 1.34 1.47 ns, max processor local bus(icu/dcu) data bus outputs t pckdo _plb 1.65 1.81 ns, max ta bl e 1 6 : processor block jtag switching characteristics description symbol speed grade units -6 -5 setup and hold relative to clock (jtagc405tck) jtag control inputs t pcck _jtag/t pckc _jtag 0.80/ 0.70 0.88/ 0.77 ns, min jtag reset input t pcck _jtagrst/ t pckc _jtagrst 0.80/ 0.70 0.88/ 0.77 ns, min clock to out jtag control outputs t pckco _jtag 1.54 1.69 ns, max
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 8 r product not recommen ded for new designs ta bl e 1 7 : powerpc 405 data-side on-chip memory switching characteristics description symbol speed grade units -6 -5 setup and hold relative to clock (bramdsocmclk) data-side on-chip memory data bus inputs t pdck _dsocm/ t pckd _dsocm 0.84/ 0.95 0.92/ 1.05 ns, min clock to out data-side on-chip memory control outputs t pckco _dsocm 1.82 1.99 ns, max data-side on-chip memory address bus outputs t pckao _dsocm 1.68 1.84 ns, max data-side on-chip memory data bus outputs t pckdo _dsocm 1.03 1.13 ns, max ta bl e 1 8 : powerpc 405 instruction-side on-chip memory switching characteristics description symbol speed grade units -6 -5 setup and hold relative to clock (bramisocmclk) instruction-side on-chip memory data bus inputs t pdck _isocm/t pckd _isocm 0.93/ 0.78 1. 02/ 0.86 ns, min clock to out instruction-side on-chip memory control outputs t pckco _isocm 1.53 1.68 ns, max instruction-side on-chip memory address bus outputs t pckao _isocm 1.75 1.92 ns, max instruction-side on-chip memory data bus outputs t pckdo _isocm 1.55 1.70 ns, max
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 9 r product not recommen ded for new designs iob input switching characteristics input delays associated with the pad are specified for lvcmos 2.5v levels. for other standards, adjust the delays with the values shown in "iob input switching characteristics standard adjustments," page 10 . ta bl e 1 9 : iob input switching characteristics description symbol device speed grade units -6 -5 propagation delays pad to i output, no delay t iopi all 0.87 0.91 ns, max pad to i output, with delay t iopid xq2vp40 1.94 2.81 ns, max XQ2VP70 1.94 2.91 ns, max propagation delays pad to output iq via transparent latch, no delay t iopli all 0.89 0.93 ns, max pad to output iq via transparent latch, with delay t ioplid xq2vp40 3.63 4.03 ns, max XQ2VP70 4.25 4.57 ns, max clock clk to output iq t iockiq all 0.60 0.67 ns, max setup and hold times with respect to clock at iob input register pad, no delay t iopick /t ioickp all 0.86/?0.63 0.90/?0.67 ns, min pad, with delay t iopickd /t ioickpd xq2vp40 3.61/?2.83 4.01/?3.15 ns, max XQ2VP70 4.23/?3.33 4.55/?3.58 ns, max ice input t ioiceck /t iockice all 0.44/ 0.01 0.49/ 0.01 ns, min sr input (iff, synchronous) t iosrcki all 0.57 0.75 ns, min set/reset delays sr input to iq (asynchronous) t iosriq all 1.27 1.42 ns, max gsr to output iq t gsrq all 6.75 7.43 ns, max notes: 1. input timing for lvcmos25 is measured at 1.25v. for other i/o standards, see table 23, page 16 .
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 10 r product not recommen ded for new designs iob input switching characteris tics standard adjustments table 20 gives all standard-specific data input delay adjustments. ta bl e 2 0 : iob input switching characteristics standard adjustments description iostandard attribute timing parameter speed grade units -6 -5 lvttl (low-voltage transistor-transistor logic) lvttl t ilvttl 0.08 0.09 ns lvcmos (low-voltage cmos), 3.3v lvcmos33 t ilvcmos33 0.05 0.05 ns lvcmos, 2.5v lvcmos25 t ilvcmos25 0.00 0.00 ns lvcmos, 1.8v lvcmos18 t ilvcmos18 0.33 0.36 ns lvcmos, 1.5v lvcmos15 t ilvcmos15 0.41 0.45 ns lvds (low-voltage differential signaling), 2.5v lvds_25 t ilvds_25 0.36 0.40 ns lvdsext (lvds extended mode), 2.5v lvdsext_25 t ilvdsext_25 0.37 0.41 ns ulvds (ultra lvds), 2.5v ulvds_25 t iulvds_25 0.36 0.40 ns blvds (bus lvds), 2.5v blvds_25 t iblvds_25 0.00 0.00 ns ldt (hypertransport), 2.5v ldt_25 t ildt_25 0.36 0.40 ns lvpecl (low-voltage positive electron-coupl ed logic), 2.5v lvpecl_25 t ilvpecl_25 0.80 0.88 ns pci (peripheral component interface), 33 mhz, 3.3v pci33_3 t ipci33_3 0.16 0.18 ns pci, 66 mhz, 3.3v pci66_3 t ipci66_3 0.17 0.19 ns pci-x, 133 mhz, 3.3v pcix t ipcix 0.13 0.15 ns gtl (gunning transceiver logic) gtl t igtl 0.68 0.74 ns gtl plus gtlp t igtlp 0.72 0.79 ns hstl (high-speed transceiver logic), class i hstl_i t ihstl_i 0.68 0.75 ns hstl, class ii hstl_ii t ihstl_ii 0.68 0.75 ns hstl, class iii hstl_iii t ihstl_iii 0.66 0.72 ns hstl, class iv hstl_iv t ihstl_iv 0.67 0.74 ns hstl, class i, 1.8v hstl_i_18 t ihstl_i_18 0.65 0.72 ns hstl, class ii, 1.8v hstl_ii_18 t ihstl_ii_18 0.63 0.69 ns hstl, class iii, 1.8v hstl_iii_18 t ihstl_iii_18 0.64 0.70 ns hstl, class iv, 1.8v hstl_iv_18 t ihstl_iv_18 0.65 0.71 ns sstl (stub series terminated logic), class i, 1.8v sstl18_i t isstl18_i 0.72 0.79 ns sstl, class ii, 1.8v sstl18_ii t isstl18_ii 0.73 0.81 ns sstl, class i, 2.5v sstl2_i t isstl2_i 0.72 0.79 ns sstl, class ii, 2.5v sstl2_ii t isstl2_ii 0.73 0.81 ns lvdci (low-voltage digitally controlled impedance), 3.3v lv d c i _ 3 3 t ilvdci_33 ?0.05 ?0.06 ns lvdci, 2.5v lvdci_25 t ilvdci_25 0.00 0.00 ns lvdci, 1.8v lvdci_18 t ilvdci_18 0.09 0.09 ns lvdci, 1.5v lvdci_15 t ilvdci_15 0.15 0.17 ns lvdci, 2.5v, half-impedance lvdci_dv2_25 t ilvdci_dv2_25 0.00 0.00 ns lvdci, 1.8v, half-impedance lvdci_dv2_18 t ilvdci_dv2_18 0.09 0.09 ns lvdci, 1.5v, half-impedance lvdci_dv2_15 t ilvdci_dv2_15 0.15 0.17 ns
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 11 r product not recommen ded for new designs hslvdci (high-speed low-voltage dci), 1.5v hslvdci_15 t ihslvdci_15 0.68 0.75 ns hslvdci, 1.8v hslvdci_18 t ihslvdci_18 0.68 0.75 ns hslvdci, 2.5v hslvdci_25 t ihslvdci_25 0.68 0.75 ns hslvdci, 3.3v hslvdci_33 t ihslvdci_33 0.68 0.75 ns gtl (gunning transceiver logic) with dci gtl_dci t igtl_dci 0.57 0.62 ns gtl plus with dci gtlp_dci t igtlp_dci 0.31 0.35 ns hstl (high-speed transceiver logic), class i, with dci hstl_i_dci t ihstl_i_dci 0.31 0.35 ns hstl, class ii, with dci hstl_ii_dci t ihstl_ii_dci 0.31 0.35 ns hstl, class iii, with dci hstl_iii_dci t ihstl_iii_dci 0.31 0.35 ns hstl, class iv, with dci hstl_iv_dci t ihstl_iv_dci 0.31 0.35 ns hstl, class i, 1.8v, with dci hstl_i_dci_18 t ihstl_i_dci_18 0.31 0.35 ns hstl, class ii, 1.8v, with dci hstl_ii_dci_18 t ihstl_ii_dci_18 0.31 0.35 ns hstl, class iii, 1.8v, with dci hstl_iii_dci_18 t ihstl_iii_dci_18 0.31 0.35 ns hstl, class iv, 1.8v, with dci hstl_iv_dci_18 t ihstl_iv_dci_18 0.31 0.35 ns sstl (stub series terminated logic), class i, 1.8v, ? with dci sstl18_i_dci t isstl18_i_dci 0.72 0.79 ns sstl, class ii, 1.8v, with dci sstl18_ii_dci t isstl18_ii_dci 0.73 0.81 ns sstl, class i, 2.5v, with dci sstl2_i_dci t isstl2_i_dci 0.20 0.22 ns sstl, class ii, 2.5v, with dci sstl2_ii_dci t isstl2_ii_dci 0.20 0.22 ns lvds, 2.5v, with dci lvds_25_dci t ilvds_25_dci 0.36 0.40 ns lvdsext, 2.5v, with dci lvdsext_25_dci t ilvdsext_25_dci 0.37 0.41 ns lvds, 2.5v, with differential termination (dt) lvds_25_dt t ilvds_25_dt 0.36 0.40 ns lvdsext, 2.5v, with dt lvdsext_25_dt t ilvdsext_25_dt 0.37 0.41 ns ulvds, 2.5v, with dt ulvds_25_dt t iulvds_25_dt 0.36 0.40 ns ldt, 2.5v, with dt ldt_25_dt t ildt_25_dt 0.36 0.40 ns ta bl e 2 0 : iob input switching characteristics standard adjustments (cont?d) description iostandard attribute timing parameter speed grade units -6 -5
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 12 r product not recommen ded for new designs iob output switching characteristics output delays terminating at a pad are specified for lvcmos25 with 12 ma drive and fast slew rate. for other standards, adjust the delays with the values shown in "iob output switching characteristics standard adjustments," page 13 . ta bl e 2 1 : iob output switching characteristics description symbol speed grade units -6 -5 propagation delays o input to pad t ioop 1.68 1.85 ns, max o input to pad via transparent latch t ioolp 1.82 1.99 ns, max three-state delays t input to pad high-impedance (2) t iothz 1.35 1.51 ns, max t input to valid data on pad t iotp 1.63 1.78 ns, max t input to pad high-impedance via transparent latch (2) t iotlphz 1.22 1.36 ns, max t input to valid data on pad via transparent latch t iotlpon 1.69 1.85 ns, max gts to pad high-impedance (2) t gts 4.73 5.20 ns, max sequential delays clock clk to pad t iockp 1.76 1.93 ns, max clock clk to pad high-impedance (synchronous) (2) t iockhz 1.55 1.73 ns, max clock clk to valid data on pad (synchronous) t iockon 1.82 2.00 ns, max setup and hold times before/after clock clk o input t ioock /t iocko 0.26/ 0.14 0.29/ 0.15 ns, min oce input t iooceck /t iockoce 0.44/ 0.01 0.49/ 0.01 ns, min sr input (off) t iosrcko /t iockosr 0.57/ 0.00 0.75/ 0.00 ns, min 3?state setup times, t input t iotck /t iockt 0.26/ 0.14 0.29/ 0.15 ns, min three-state setup times, tce input t iotceck /t iocktce 0.44/ 0.01 0.49/ 0.01 ns, min three-state setup times, sr input (tff) t iosrckt /t iocktsr 0.57/ 0.00 0.75/ 0.00 ns, min set/reset delays minimum pulse width, sr inputs (asynchronous) t rpw 0.40 0.45 ns, min sr input to pad (asynchronous) t iosrp 2.56 2.83 ns, max sr input to pad high-impedance (asynchronous) (2) t iosrhz 2.16 2.41 ns, max sr input to valid data on pad (asynchronous) t iosron 2.44 2.69 ns, max gsr to pad t iogsrq 6.75 7.43 ns, max notes: 1. a zero ?0? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ?best-case ?, but if a ?0? is listed, there is no positive hold time. 2. the three-state turn-off delays should not be adjusted.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 13 r product not recommen ded for new designs iob output switching characte ristics standard adjustments table 22 gives all standard-specific adjustments for output delays terminating at pads, based on standard capacitive load, c ref . output delays terminating at a pad are specified for lvcmos25 with 12 ma drive and fast slew rate. for other standards, adjust the delays by the values shown. ta bl e 2 2 : iob output switching characteristics standard adjustments description iostandard attribute timing parameter speed grade units -6 -5 lvttl (low-voltage transistor-transistor logic), slow, ? 2 ma lv t t l _ s 2 t olvttl_s2 6.24 6.86 ns lvttl, slow, 4 ma lvttl_s4 t olvttl_s4 3.55 3.91 ns lvttl, slow, 6 ma lvttl_s6 t olvttl_s6 2.60 2.86 ns lvttl, slow, 8 ma lvttl_s8 t olvttl_s8 1.69 1.86 ns lvttl, slow, 12 ma lvttl_s12 t olvttl_s12 1.18 1.29 ns lvttl, slow, 16 ma lvttl_s16 t olvttl_s16 0.53 0.58 ns lvttl, slow, 24 ma lvttl_s24 t olvttl_s24 0.42 0.47 ns lvttl, fast, 2 ma lvttl_f2 t olvttl_f2 5.09 5.59 ns lvttl, fast, 4 ma lvttl_f4 t olvttl_f4 2.24 2.46 ns lvttl, fast, 6 ma lvttl_f6 t olvttl_f6 1.26 1.39 ns lvttl, fast, 8 ma lvttl_f8 t olvttl_f8 0.46 0.51 ns lvttl, fast, 12 ma lvttl_f12 t olvttl_f12 0.27 0.30 ns lvttl, fast, 16 ma lvttl_f16 t olvttl_f16 0.06 0.07 ns lvttl, fast, 24 ma lvttl_f24 t olvttl_f24 ?0.01 ?0.01 ns lvcmos (low-voltage cmos), 3.3v, slow, 2 ma lvcmos33_s2 t olvcmos33_s2 6.23 6.86 ns lvcmos, 3.3v, slow, 4 ma lvcmos33_s4 t olvcmos33_s4 3.61 3.97 ns lvcmos, 3.3v, slow, 6 ma lvcmos33_s6 t olvcmos33_s6 2.60 2.86 ns lvcmos, 3.3v, slow, 8 ma lvcmos33_s8 t olvcmos33_s8 1.69 1.86 ns lvcmos, 3.3v, slow, 12 ma lvcmos33_s12 t olvcmos33_s12 1.18 1.30 ns lvcmos, 3.3v, slow, 16 ma lvcmos33_s16 t olvcmos33_s16 0.52 0.57 ns lvcmos, 3.3v, slow, 24 ma lvcmos33_s24 t olvcmos33_s24 0.44 0.49 ns lvcmos, 3.3v, fast, 2 ma lvcmos33_f2 t olvcmos33_f2 5.13 5.64 ns lvcmos, 3.3v, fast, 4 ma lvcmos33_f4 t olvcmos33_f4 2.25 2.48 ns lvcmos, 3.3v, fast, 6 ma lvcmos33_f6 t olvcmos33_f6 1.28 1.40 ns lvcmos, 3.3v, fast, 8 ma lvcmos33_f8 t olvcmos33_f8 0.47 0.52 ns lvcmos, 3.3v, fast, 12 ma lvcmos33_f12 t olvcmos33_f12 0.26 0.28 ns lvcmos, 3.3v, fast, 16 ma lvcmos33_f16 t olvcmos33_f16 0.02 0.03 ns lvcmos, 3.3v, fast, 24 ma lvcmos33_f24 t olvcmos33_f24 ?0.08 ?0.09 ns lvcmos, 2.5v, slow, 2 ma lvcmos25_s2 t olvcmos25_s2 4.74 5.21 ns lvcmos, 2.5v, slow, 4 ma lvcmos25_s4 t olvcmos25_s4 2.80 3.07 ns lvcmos, 2.5v, slow, 6 ma lvcmos25_s6 t olvcmos25_s6 2.02 2.22 ns lvcmos, 2.5v, slow, 8 ma lvcmos25_s8 t olvcmos25_s8 1.19 1.31 ns lvcmos, 2.5v, slow, 12 ma lvcmos25_s12 t olvcmos25_s12 0.87 0.96 ns lvcmos, 2.5v, slow, 16 ma lvcmos25_s16 t olvcmos25_s16 0.47 0.52 ns lvcmos, 2.5v, slow, 24 ma lvcmos25_s24 t olvcmos25_s24 0.26 0.28 ns lvcmos, 2.5v, fast, 2 ma lvcmos25_f2 t olvcmos25_f2 3.78 4.16 ns
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 14 r product not recommen ded for new designs lvcmos, 2.5v, fast, 4 ma lvcmos25_f4 t olvcmos25_f4 1.50 1.65 ns lvcmos, 2.5v, fast, 6 ma lvcmos25_f6 t olvcmos25_f6 0.71 0.78 ns lvcmos, 2.5v, fast, 8 ma lvcmos25_f8 t olvcmos25_f8 0.23 0.25 ns lvcmos, 2.5v, fast, 12 ma lvcmos25_f12 t olvcmos25_f12 0.00 0.00 ns lvcmos, 2.5v, fast, 16 ma lvcmos25_f16 t olvcmos25_f16 ?0.03 ?0.04 ns lvcmos, 2.5v, fast, 24 ma lvcmos25_f24 t olvcmos25_f24 ?-0.15 ?0.15 ns lvcmos, 1.8v, slow, 2 ma lvcmos18_s2 t olvcmos18_s2 4.83 5.31 ns lvcmos, 1.8v, slow, 4 ma lvcmos18_s4 t olvcmos18_s4 3.18 3.49 ns lvcmos, 1.8v, slow, 6 ma lvcmos18_s6 t olvcmos18_s6 2.20 2.41 ns lvcmos, 1.8v, slow, 8 ma lvcmos18_s8 t olvcmos18_s8 2.20 2.42 ns lvcmos, 1.8v, slow, 12 ma lvcmos18_s12 t olvcmos18_s12 1.81 1.99 ns lvcmos, 1.8v, slow, 16 ma lvcmos18_s16 t olvcmos18_s16 0.87 0.96 ns lvcmos, 1.8v, fast, 2 ma lvcmos18_f2 t olvcmos18_f2 2.69 2.95 ns lvcmos, 1.8v, fast, 4 ma lvcmos18_f4 t olvcmos18_f4 0.81 0.89 ns lvcmos, 1.8v, fast, 6 ma lvcmos18_f6 t olvcmos18_f6 0.57 0.63 ns lvcmos, 1.8v, fast, 8 ma lvcmos18_f8 t olvcmos18_f8 0.55 0.61 ns lvcmos, 1.8v, fast, 12 ma lvcmos18_f12 t olvcmos18_f12 0.34 0.38 ns lvcmos, 1.8v, fast, 16 ma lvcmos18_f16 t olvcmos18_f16 0.12 0.13 ns lvcmos, 1.5v, slow, 2 ma lvcmos15_s2 t olvcmos15_s2 7.12 7.83 ns lvcmos, 1.5v, slow, 4 ma lvcmos15_s4 t olvcmos15_s4 4.93 5.42 ns lvcmos, 1.5v, slow, 6 ma lvcmos15_s6 t olvcmos15_s6 3.24 3.56 ns lvcmos, 1.5v, slow, 8 ma lvcmos15_s8 t olvcmos15_s8 2.93 3.23 ns lvcmos, 1.5v, slow, 12 ma lvcmos15_s12 t olvcmos15_s12 1.51 1.66 ns lvcmos, 1.5v, slow, 16 ma lvcmos15_s16 t olvcmos15_s16 1.47 1.62 ns lvcmos, 1.5v, fast, 2 ma lvcmos15_f2 t olvcmos15_f2 2.60 2.86 ns lvcmos, 1.5v, fast, 4 ma lvcmos15_f4 t olvcmos15_f4 1.90 2.09 ns lvcmos, 1.5v, fast, 6 ma lvcmos15_f6 t olvcmos15_f6 0.75 0.82 ns lvcmos, 1.5v, fast, 8 ma lvcmos15_f8 t olvcmos15_f8 1.08 1.19 ns lvcmos, 1.5v, fast, 12 ma lvcmos15_f12 t olvcmos15_f12 0.29 0.32 ns lvcmos, 1.5v, fast, 16 ma lvcmos15_f16 t olvcmos15_f16 0.32 0.35 ns lvds (low-voltage differential signaling), 2.5v lvds_25 t olvds_25 0.01 0.01 ns lvdsext (lvds extended mode), 2.5v lvdsext_25 t olvdsext_25 0.15 0.16 ns ulvds (ultra lvds), 2.5v ulvds_25 t oulvds_25 0.14 0.16 ns blvds (bus lvds), 2.5v blvds_25 t oblvds_25 0.00 0.00 ns ldt (hypertransport), 2.5v ldt_25 t oldt_25 0.14 0.16 ns lvpecl (low-voltage positive electron-coupl ed logic), 2.5v lvpecl_25 t olvpecl_25 0.19 0.21 ns pci (peripheral component interface), 33 mhz, 3.3v pci33_3 t opci33_3 0.93 1.01 ns pci, 66 mhz, 3.3v pci66_3 t opci66_3 0.97 1.05 ns pci-x, 133 mhz, 3.3v pcix t opcix 1.02 1.10 ns gtl (gunning transceiver logic) gtl t ogtl 0.10 0.11 ns ta bl e 2 2 : iob output switching characteristics standard adjustments (cont?d) description iostandard attribute timing parameter speed grade units -6 -5
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 15 r product not recommen ded for new designs gtl plus gtlp t ogtlp 0.05 0.06 ns hstl (high-speed transceiver logic), class i hstl_i t ohstl_i 0.64 0.70 ns hstl, class ii hstl_ii t ohstl_ii 0.35 0.38 ns hstl, class iii hstl_iii t ohstl_iiii 0.17 0.39 ns hstl, class iv hstl_iv t ohstl_iv 0.17 0.19 ns hstl, class i, 1.8v hstl_i_18 t ohstl_i_18 0.64 0.70 ns hstl, class ii, 1.8v hstl_ii_18 t ohstl_ii_18 0.35 0.38 ns hstl, class iii, 1.8v hstl_iii_18 t ohstl_iiii_18 0.41 0.45 ns hstl, class iv, 1.8v hstl_iv_18 t ohstl_iv_18 0.22 0.24 ns sstl (stub series terminated logic), class i, 1.8v sstl18_i t osstl18_i 0.92 1.01 ns sstl, class ii, 1.8v sstl18_ii t osstl18_ii 0.51 0.56 ns sstl, class i, 2.5v sstl2_i t osstl2_i 0.72 0.79 ns sstl, class ii, 2.5v sstl2_ii t osstl2_ii 0.25 0.27 ns lvdci (low-voltage digitally controlled impedance), 3.3v lv d c i _ 3 3 t olvdci_33 0.83 0.91 ns lvdci, 2.5v lvdci_25 t olvdci_25 0.64 0.71 ns lvdci, 1.8v lvdci_18 t olvdci_18 0.75 0.82 ns lvdci, 1.5v lvdci_15 t olvdci_15 1.15 1.26 ns lvdci, 2.5v, half-impedance lvdci_dv2_25 t olvdci_dv2_25 0.07 0.08 ns lvdci, 1.8v, half-impedance lvdci_dv2_18 t olvdci_dv2_18 0.34 0.38 ns lvdci, 1.5v, half-impedance lvdci_dv2_15 t olvdci_dv2_15 0.69 0.76 ns hslvdci (high-speed low-voltage dci), 1.5v hslvdci_15 t ohslvdci_15 1.15 1.26 ns hslvdci, 1.8v hslvdci_18 t ohslvdci_18 0.75 0.82 ns hslvdci, 2.5v hslvdci_25 t ohslvdci_25 0.64 0.71 ns hslvdci, 3.3v hslvdci_33 t ohslvdci_33 0.83 0.91 ns gtl (gunning transceiver logic) with dci gtl_dci t ogtl_dci 1.39 1.53 ns gtl plus with dci gtlp_dci t ogtlp_dci 0.06 0.07 ns hstl (high-speed transceiver logic), class i, with dci hstl_i_dci t ohstl_i_dci 0.63 0.69 ns hstl, class ii, with dci hstl_ii_dci t ohstl_ii_dci 0.54 0.60 ns hstl, class iii, with dci hstl_iii_dci t ohstl_iii_dci 0.36 0.40 ns hstl, class iv, with dci hstl_iv_dci t ohstl_iv_dci 2.08 2.29 ns hstl, class i, 1.8v, with dci hstl_i_dci_18 t ohstl_i_dci_18 0.63 0.70 ns hstl, class ii, 1.8v, with dci hstl_ii_dci_18 t ohstl_ii_dci_18 0.28 0.31 ns hstl, class iii, 1.8v, with dci hstl_iii_dci_18 t ohstl_iii_dci_18 0.40 0.44 ns hstl, class iv, 1.8v, with dci hstl_iv_dci_18 t ohstl_iv_dci_18 1.70 1.87 ns sstl (stub series terminated logic), class i, 1.8v, ? with dci sstl18_i_dci t osstl18_i_dci 0.62 0.68 ns sstl, class ii, 1.8v, with dci sstl18_ii_dci t osstl18_ii_dci 0.28 0.31 ns sstl, class i, 2.5v, with dci sstl2_i_dci t osstl2_i_dci 0.56 0.61 ns sstl, class ii, 2.5v, with dci sstl2_ii_dci t osstl2_ii_dci 0.56 0.61 ns ta bl e 2 2 : iob output switching characteristics standard adjustments (cont?d) description iostandard attribute timing parameter speed grade units -6 -5
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 16 r product not recommen ded for new designs i/o standard adjustment measurement methodology input delay measurements table 23 shows the test setup parameters used for measuring input standard adjustments (see table 20, page 10 ). ta bl e 2 3 : input delay measurement methodology description iostandard attribute v l (1,2) v h (1,2) v meas (1,4,5) v ref (1,3,5) lvttl (low-voltage transistor-transistor logic) lvttl 0 3.3 1.65 ? lvcmos (low-voltage cmos), 3.3v lvcmos33 0 3.3 1.65 ? lvcmos, 2.5v lvcmos25 0 2.5 1.25 ? lvcmos, 1.8v lvcmos18 0 1.8 0.9 ? lvcmos, 1.5v lvcmos15 0 1.5 0.75 ? pci (peripheral component interface), 33 mhz, 3.3v pci33_3 per pci specification ? pci, 66 mhz, 3.3v pci66_3 per pci specification ? pci-x, 133 mhz, 3.3v pcix per pci-x specification ? gtl (gunning transceiver logic) gtl v ref ? 0.2 v ref + 0.2 v ref 0.80 gtl plus gtlp v ref ? 0.2 v ref + 0.2 v ref 1.0 hstl (high-speed transceiver logic), class i & ii hstl_i, hstl_ii v ref ? 0.5 v ref + 0.5 v ref 0.75 hstl, class iii & iv hstl_iii, hstl_iv v ref ? 0.5 v ref + 0.5 v ref 0.90 hstl, class i & ii, 1.8v hstl_i_18, hstl_ii_18 v ref ? 0.5 v ref + 0.5 v ref 0.90 hstl, class iii & iv, 1.8v hstl_iii_18, hstl_iv_18 v ref ? 0.5 v ref + 0.5 v ref 1.08 sstl (stub terminated trnscvr logic), class i & ii, 2.5v sstl2_i, sstl2_ii v ref ? 0.75 v ref + 0.75 v ref 1.25 sstl, class i & ii, 1.8v sstl18_i, sstl18_ii v ref ? 0.5 v ref + 0.5 v ref 0.9 lvds (low-voltage differential signaling) , 2.5v lvds_25 1.2 ? 0.125 1.2 + 0.125 1.2 lvdsext (lvds extended mode), 2.5v lvdsext_25 1.2 ? 0.125 1.2 + 0.125 1.2 ulvds (ultra lvds), 2.5v ulvds_25 0.6 ? 0.125 0.6 + 0.125 0.6 ldt (hypertransport), 2.5v ldt_25 0.6 ? 0.125 0.6 + 0.125 0.6 lvpecl (low-voltage positive electron-cou pled logic), 2.5v lvpecl_25 1.15 ? 0.3 1.15 + 0.3 1.15 notes: 1. input delay measurement methodology parameters for lvdci and hslvdci are the same as for lvcmos standards of the same voltage . parameters for all other dci standards are the same as for the corresponding non-dci standards. 2. input waveform switches between v l and v h . 3. measurements are made at typical, minimum, and maximum v ref values. reported delays reflect worst case of these measurements. v ref values listed are typical. see virtex-ii pro platform fpga user guide for min/max specifications. 4. input voltage level from which measurement starts. 5. note that this is an input voltage reference that bears no relation to the v ref / v meas parameters found in ibis models and/or noted in figure 1, page 17 .
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 17 r product not recommen ded for new designs output delay measurements output delays are measured using a tektronix p6245 tds500/600 probe (< 1 pf) across approximately 4" of fr4 microstrip trace. standard termination was used for all testing. (see virtex-ii pro platform fpga user guide for details.) the propagation delay of the 4" trace is characterized separately and subtracted from the final measurement, and is therefore not included in the generalized test setup shown in figure 1 . measurements and test conditions are reflected in the ibis models except where the ibis format precludes it. (ibis models can be found on the web at http://support.xilinx.com/support/sw_ibis.htm .) parameters v ref , r ref , c ref , and v meas fully describe the test conditions for each i/o standard. the most accurate prediction of propagation delay in any given application can be obtained through ibis simulation, using the following method: 1. simulate the output driver of choice into the generalized test setup, using values from table 24 . 2. record the time to v meas . 3. simulate the output driver of choice into the actual pcb trace and load, using the appropriate ibis model or capacitance value to represent the load. 4. record the time to v meas . 5. compare the results of steps 2 and 4. the increase or decrease in delay should be added to or subtracted from the i/o output standard adjustment value ( table 22, page 13 ) to yield the actual worst-case propagation delay (clock-to-input) of the pcb trace. x-ref target - figure 1 figure 1: generalized test setup v ref r ref v meas (voltage level at which delay measurement is taken) c ref (probe capacitance) fpga output ds083-3_06a_092503 ta bl e 2 4 : output delay measurement methodology description iostandard attribute r ref ( ? ) c ref (1) ( pf ) v meas ( v ) v ref ( v ) lvttl (low-voltage transistor-transistor logic) lvttl (all) 1m 0 1.65 0 lvcmos (low-voltage cmos), 3.3v lvcmos33 1m 0 1.65 0 lv c m o s, 2 . 5 v lv c m o s 2 5 1 m 0 1 . 2 5 0 lvcmos, 1.8v lvcmos18 1m 0 0.9 0 lv c m o s, 1 . 5 v lv c m o s 1 5 1 m 0 0 . 7 5 0 pci (peripheral component interface), 33 mhz, 3.3v pci33_3 (rising edge) 25 10 (2) 0.94 0 pci33_3 (falling edge) 25 10 (2) 2.03 3.3 pci, 66 mhz, 3.3v pci66_3 (rising edge) 25 10 (2) 0.94 0 pci66_3 (falling edge) 25 10 (2) 2.03 3.3 pci-x, 133 mhz, 3.3v pcix (rising edge) 25 10 (3) 0.94 0 pcix (falling edge 25 10 (3) 2.03 3.3 gtl (gunning transceiver logic) gtl 25 0 0.8 1.2 gtl plus gtlp 25 0 1.0 1.5 hstl (high-speed transceiver logic), class i hstl_i 50 0 v ref 0.75 hstl, class ii hstl_ii 25 0 v ref 0.75 hstl, class iii hstl_iii 50 0 0.9 1.5 hstl, class iv hstl_iv 25 0 0.9 1.5 hstl, class i, 1.8v hstl_i_18 50 0 v ref 0.9 hstl, class ii, 1.8v hstl_ii_18 25 0 v ref 0.9 hstl, class iii, 1.8v hstl_iii_18 50 0 1.1 1.8
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 18 r product not recommen ded for new designs hstl, class iv, 1.8v hstl_iv_18 25 0 1.1 1.8 sstl (stub series terminated logic), class i, 1.8v sstl18_i 50 0 v ref 0.9 sstl, class ii, 1.8v sstl18_ii 25 0 v ref 0.9 sstl, class i, 2.5v sstl2_i 50 0 v ref 1.25 sstl, class ii, 2.5v sstl2_ii 25 0 v ref 1.25 lvds (low-voltage differential signaling), 2.5v lvds_25 50 0 v ref 1.2 lvdsext (lvds extended mode), 2.5v lvdsext_25 50 0 v ref 1.2 blvds (bus lvds), 2.5v blvds_25 1m 0 1.2 0 ldt (hypertransport), 2.5v ldt_25 50 0 v ref 0.6 lvpecl ? (low-voltage positive electron-coupled logic), 2.5v lvpecl_25 1m 0 1.23 0 lvdci/hslvdci ? (low-voltage digitally controlled impedance), 3.3v lvdci_33 1m 0 1.65 0 lvdci/hslvdci, 2.5v lvdci_25 1m 0 1.25 0 lvdci/hslvdci, 1.8v lvdci_18 1m 0 0.9 0 lvdci/hslvdci, 1.5v lvdci_15 1m 0 0.75 0 hstl (high-speed transceiver logic), class i & ii, with dci hstl_i_dci, hstl_ii_dci 50 0 v ref 0.75 hstl, class iii & iv, with dci hstl_iii_dci, hstl_iv_dci 50 0 0.9 1.5 hstl, class i & ii, 1.8v, with dci hstl_i_dci_18, hstl_ii_dci_18 50 0 v ref 0.9 hstl, class iii & iv, 1.8v, with dci h stl_iii_dci_18, hstl_iv_dci_18 50 0 1.1 1.8 sstl (stub series termi.logic), class i & ii, 1.8v, with dci sstl18_i_dci, sstl18_ii_dci 50 0 v ref 0.9 sstl, class i & ii, 2.5v, with dci sstl2_i_dci, sstl2_ii_dci 50 0 v ref 1.25 gtl (gunning transceiver logic) with dci gtl_dci 50 0 0.8 1.2 gtl plus with dci gtlp_dci 50 0 1.0 1.5 notes: 1. c ref is the capacitance of the probe, nominally 0 pf. 2. measured as per pci specification. 3. measured as per pci-x specification. ta bl e 2 4 : output delay measurement methodology (cont?d) description iostandard attribute r ref ( ? ) c ref (1) ( pf ) v meas ( v ) v ref ( v )
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 19 r product not recommen ded for new designs clock distribution switching characteristics clb switching characteristics delays originating at f/g inputs vary slightly according to the input used (see figure 22 in functional description (module 2) ). the values listed below are worst-case. precise values are provided by the timing analyzer. ta bl e 2 5 : clock distribution swit ching characteristics description symbol speed grade units -6 -5 global clock buffer i input to o output t gio 0.057 0.064 ns, max global clock buffer s input setup/hold to i1 an i2 inputs t gsi /t gis 0.54/?0.12 0.60/?0.13 ns, max ta bl e 2 6 : clb switching characteristics description symbol speed grade units -6 -5 combinatorial delays 4-input function: f/g in puts to x/y outputs t ilo 0.32 0.36 ns, max 5-input function: f/g inputs to f5 output t if5 0.65 0.73 ns, max 5-input function: f/g inputs to x output t if5x 0.70 0.79 ns, max fxina or fxinb inputs to y output via muxfx t ifxy 0.32 0.36 ns, max fxina input to fx output via muxfx t inafx 0.32 0.36 ns, max fxinb input to fx output via muxfx t inbfx 0.32 0.36 ns, max sopin input to sopout output via orcy t sopsop 0.13 0.14 ns, max incremental delay routing through transparent latch to xq/yq outputs t ifnctl 0.24 0.27 ns, max sequential delays ff clock clk to xq/yq outputs t cko 0.38 0.42 ns, max latch clock clk to xq/yq outputs t cklo 0.57 0.64 ns, max setup and hold times before/after clock clk bx/by inputs t dick /t ckdi 0.24/?0.05 0.27/?0.06 ns, min dy inputs t dyck /t ckdy 0.00/ 0.14 0.00/ 0.15 ns, min dx inputs t dxck /t ckdx 0.00/ 0.14 0.00/ 0.15 ns, min ce input t ceck /t ckce 0.34/ 0.01 0.47/ 0.01 ns, min sr/by inputs (synchronous) t rck / t ckr 0.60/?0.01 0.78/?0.01 ns, min clock clk minimum pulse width, high t ch 0.40 0.45 ns, min minimum pulse width, low t cl 0.40 0.45 ns, min set/reset minimum pulse width, sr/by inputs (asynchronous) t rpw 0.40 0.45 ns, min delay from sr/by inputs to xq/yq outputs ? (asynchronous) t rq 1.25 1.40 ns, max toggle frequency (for export control) f tog 1200 1050 mhz notes: 1. a zero ?0? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ?best-case ?, but if a ?0? is listed, there is no positive hold time.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 20 r product not recommen ded for new designs clb distributed ram switching characteristics clb shift register swit ching characteristics ta bl e 2 7 : clb distributed ram swit ching characteristics description symbol speed grade units -6 -5 sequential delays clock clk to x/y outputs (we active) in 16 x 1 mode t shcko16 1.38 1.54 ns, max clock clk to x/y outputs (we active) in 32 x 1 mode t shcko32 1.75 1.95 ns, max clock clk to f5 output t shckof5 1.68 1.88 ns, max setup and hold times before/after clock clk bx/by data inputs (din) t ds /t dh 0.41/?0.07 0.46/?0.08 ns, min f/g address inputs t as /t ah 0.47/ 0.00 0.52/ 0.00 ns, min sr input t wes /t weh 0.24/ 0.05 0.26/ 0.05 ns, min clock clk minimum pulse width, high t wph 0.72 0.79 ns, min minimum pulse width, low t wpl 0.72 0.79 ns, min minimum clock period to meet address write cycle time t wc 1.44 1.58 ns, min notes: 1. a zero ?0? hold time listing indicates no hold time or a negative hold time. negative values cannot be guaranteed ?best-case? , but if a ?0? is listed, there is no positive hold time. ta bl e 2 8 : clb shift register switching characteristics description symbol speed grade units -6 -5 sequential delays clock clk to x/y outputs t reg 3.12 3.49 ns, max clock clk to x/y outputs t reg32 3.49 3.90 ns, max clock clk to xb output via mc15 lut output t regxb 3.18 3.55 ns, max clock clk to yb output via mc15 lut output t regyb 2.88 3.21 ns, max clock clk to shiftout t cksh 2.83 3.15 ns, max clock clk to f5 output t regf5 3.42 3.83 ns, max setup and hold times before/after clock clk bx/by data inputs (din) t srlds /t srldh 0.77/?0.18 0.98/?0.21 ns, min sr input t wss /t wsh 0.34/ 0.01 0.47/ 0.01 ns, min clock clk minimum pulse width, high t srph 0.72 0.79 ns, min minimum pulse width, low t srpl 0.72 0.79 ns, min notes: 1. a zero ?0? hold time listing indicates no hold time or a negative hold time. negative values cannot be guaranteed ?best-case? , but if a ?0? is listed, there is no positive hold time.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 21 r product not recommen ded for new designs multiplier switchi ng characteristics ta bl e 2 9 : multiplier switchin g characteristics description symbol speed grade units -6 -5 propagation delay to output pin input to pin35 t mult_p35 4.64 5.19 ns, max input to pin34 t mult_p34 4.55 5.09 ns, max input to pin33 t mult_p33 4.45 4.99 ns, max input to pin32 t mult_p32 4.36 4.88 ns, max input to pin31 t mult_p31 4.27 4.78 ns, max input to pin30 t mult_p30 4.17 4.67 ns, max input to pin29 t mult_p29 4.08 4.57 ns, max input to pin28 t mult_p28 3.99 4.46 ns, max input to pin27 t mult_p27 3.89 4.36 ns, max input to pin26 t mult_p26 3.80 4.26 ns, max input to pin25 t mult_p25 3.71 4.15 ns, max input to pin24 t mult_p24 3.61 4.05 ns, max input to pin23 t mult_p23 3.52 3.94 ns, max input to pin22 t mult_p22 3.43 3.84 ns, max input to pin21 t mult_p21 3.34 3.73 ns, max input to pin20 t mult_p20 3.24 3.63 ns, max input to pin19 t mult_p19 3.15 3.53 ns, max input to pin18 t mult_p18 3.06 3.42 ns, max input to pin17 t mult_p17 2.96 3.32 ns, max input to pin16 t mult_p16 2.86 3.21 ns, max input to pin15 t mult_p15 2.76 3.09 ns, max input to pin14 t mult_p14 2.67 2.98 ns, max input to pin13 t mult_p13 2.57 2.87 ns, max input to pin12 t mult_p12 2.47 2.76 ns, max input to pin11 t mult_p11 2.37 2.65 ns, max input to pin10 t mult_p10 2.27 2.54 ns, max input to pin9 t mult_p9 2.17 2.43 ns, max input to pin8 t mult_p8 2.07 2.32 ns, max input to pin7 t mult_p7 1.97 2.21 ns, max input to pin6 t mult_p6 1.87 2.09 ns, max input to pin5 t mult_p5 1.77 1.98 ns, max input to pin4 t mult_p4 1.67 1.87 ns, max input to pin3 t mult_p3 1.57 1.76 ns, max input to pin2 t mult_p2 1.47 1.65 ns, max input to pin1 t mult_p1 1.37 1.54 ns, max input to pin0 t mult_p0 1.27 1.43 ns, max
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 22 r product not recommen ded for new designs ta bl e 3 0 : pipelined multiplier switching characteristics description symbol speed grade units -6 -5 setup and hold times before/after clock data inputs t mulidck /t mulckid 2.06/ 0.00 2.31/ 0.00 ns, max clock enable t mulidck_ce /t mulckid_ce 0.25/ 0.00 0.28/ 0.00 ns, max reset t mulidck_rst /t mulckid_rst 0.24/?0.09 0.26/?0.10 ns, max clock to output pin clock to pin35 t multck_p35 2.92 3.27 ns, max clock to pin34 t multck_p34 2.82 3.16 ns, max clock to pin33 t multck_p33 2.72 3.05 ns, max clock to pin32 t multck_p32 2.62 2.93 ns, max clock to pin31 t multck_p31 2.52 2.82 ns, max clock to pin30 t multck_p30 2.42 2.71 ns, max clock to pin29 t multck_p29 2.32 2.60 ns, max clock to pin28 t multck_p28 2.22 2.48 ns, max clock to pin27 t multck_p27 2.12 2.37 ns, max clock to pin26 t multck_p26 2.02 2.26 ns, max clock to pin25 t multck_p25 1.92 2.15 ns, max clock to pin24 t multck_p24 1.82 2.03 ns, max clock to pin23 t multck_p23 1.71 1.92 ns, max clock to pin22 t multck_p22 1.61 1.81 ns, max clock to pin21 t multck_p21 1.51 1.69 ns, max clock to pin20 t multck_p20 1.41 1.58 ns, max clock to pin19 t multck_p19 1.31 1.47 ns, max clock to pin18 t multck_p18 1.21 1.36 ns, max clock to pin17 t multck_p17 1.11 1.24 ns, max clock to pin16 t multck_p16 1.01 1.13 ns, max clock to pin15 t multck_p15 0.91 1.02 ns, max clock to pin14 t multck_p14 0.81 0.91 ns, max clock to pin13 t multck_p13 0.71 0.79 ns, max clock to pin12 t multck_p12 0.71 0.79 ns, max clock to pin11 t multck_p11 0.71 0.79 ns, max clock to pin10 t multck_p10 0.71 0.79 ns, max clock to pin9 t multck_p9 0.71 0.79 ns, max clock to pin8 t multck_p8 0.71 0.79 ns, max clock to pin7 t multck_p7 0.71 0.79 ns, max clock to pin6 t multck_p6 0.71 0.79 ns, max clock to pin5 t multck_p5 0.71 0.79 ns, max clock to pin4 t multck_p4 0.71 0.79 ns, max clock to pin3 t multck_p3 0.71 0.79 ns, max clock to pin2 t multck_p2 0.71 0.79 ns, max clock to pin1 t multck_p1 0.71 0.79 ns, max clock to pin0 t multck_p0 0.71 0.79 ns, max
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 23 r product not recommen ded for new designs block selectram+ switching characteristics tbuf switching characteristics ta bl e 3 1 : block selectram+ switching characteristics description symbol speed grade units -6 -5 sequential delays clock clk to dout output t bcko 1.50 1.68 ns, max setup and hold tim es before clock clk addr inputs t back /t bcka 0.31/ 0.25 0.35/ 0.28 ns, min din inputs t bdck /t bckd 0.23/ 0.25 0.26/ 0.28 ns, min en input t beck /t bcke 0.32/ 0.00 0.35/ 0.00 ns, min rst input t brck /t bckr 0.32/ 0.00 0.35/ 0.00 ns, min wen input t bwck /t bckw 0.35/ 0.00 0.39/ 0.00 ns, min clock clk clka to clkb setup time for different ports t bccs 1.0 1.0 ns, min minimum pulse width, high t bpwh 1.30 1.50 ns, min minimum pulse width, low t bpwl 1.30 1.50 ns, min notes: 1. a zero ?0? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ?best-case ?, but if a ?0? is listed, there is no positive hold time. ta bl e 3 2 : tbuf switching characteristics description symbol speed grade units -6 -5 combinatorial delays in input to out output t io 1.01 1.12 ns, max tri input to out output high-impedance t off 0.55 0.61 ns, max tri input to valid data on out output t on 0.55 0.61 ns, max
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 24 r product not recommen ded for new designs configuration timing configuration memory clearing parameters power-up timing of configuration signals is shown in figure 2 ; corresponding timing characteristics are listed in table 33 . x-ref target - figure 2 figure 2: configuration power-up timing ta bl e 3 3 : power-up timing characteristics description figure references symbol value units power-on reset 1 t por t pl + 2 ms, max program latency 2 t pl 4 ? s per frame, max cclk (output) delay 3 t icck 0.25 ? s, min 4.00 ? s, max program pulse width t program 300 ns, min notes: 1. the m2, m1, and m0 mode pins should be set at a constant dc voltage level, either through pull-up or pull-down resistors, or tied directly to ground or v ccaux . the mode pins should not be toggled during and after configuration. t pl t icck ds083-3_07_012004 t por init_b prog_b v cc *can be either 0 or 1, but must not toggle during and after configuration. m0, m1, m2* (required) cclk (output or input) 1 2 3
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 25 r product not recommen ded for new designs master/slave serial mode parameters clock timing for slave serial configuration programming is shown in figure 3 , with master serial clock timing shown in figure 4 . programming parameters for both slave and master modes are given in table 34 . . x-ref target - figure 3 figure 3: slave serial mode timing sequence x-ref target - figure 4 figure 4: master serial mode timing sequence ta bl e 3 4 : master/slave serial mode timing characteristics description figure references symbol value units cclk din setup/hold, slave mode ( figure 3 )1/2t dcc/ t ccd 5.0/0.0 ns, min din setup/hold, master mode ( figure 4 )1/2 t dsck /t ckds 5.0/0.0 ns, min dout 3 t cco 12.0 ns, max high time 4 t cch 5.0 ns, min low time 5 t ccl 5.0 ns, min maximum start-up frequency f cc_startup 50 mhz, max maximum frequency f cc_serial 66 (1) mhz, max frequency tolerance, master mode with respect to nominal +45% ?30% notes: 1. if no provision is made in the design to adjust the frequency of cclk, f cc_serial should not exceed f cc_startup . 4 t cch 3 t cco 5 t ccl 2 t ccd 1 t dcc serial din cclk serial dout ds083-3_08_111104 serial din cclk (output) serial dout 1 2 t ckds t dsck ds083-3_09_111104
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 26 r product not recommen ded for new designs master/slave selectmap parameters figure 5 is a generic timing diagram for data loading using selectmap. for other data loading diagrams, refer to the virtex-ii pro platform fpga user guide . x-ref target - figure 5 figure 5: selectmap mode data loading sequence (generic) ta bl e 3 5 : selectmap mode write timing characteristics description device figure references symbol value units cclk data[0:7] setup/hold xq2vp40 1/2 t smdcc /t smccd 5.0/0.0 ns, min XQ2VP70 6.0/0.0 ns, min cs_b setup/hold 3/4 t smcscc /t smcccs 7.0/0.0 ns, min rdwr_b setup/hold 5/6 t smccw /t smwcc 7.0/0.0 ns, min busy propagation delay 7 t smckby 12.0 ns, max maximum start-up frequency f cc_startup 50 mhz, max maximum frequency f cc_selectmap 50 mhz, max maximum frequency with no handshake f ccnh 50 mhz, max ds083-3_10_012004 cclk no write write no write write data[0:7] cs_b rdwr_b 3 5 busy 4 6 7 t smcscc 1 t smdcc 2 t smccd t smcccs t smwcc t smckby t smccw
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 27 r product not recommen ded for new designs jtag test access port switching characteristics characterization data for some of the most commonly requested timing parameters shown in figure 6 is listed in table 36 . x-ref target - figure 6fi figure 6: qpro virtex-ii pro boundary-scan port timing waveforms ta bl e 3 6 : boundary-scan port timing specifications description figure references symbol value units tck tms and tdi setup time 1 t taptck 5.5 ns, min tms and tdi hold times 2 t tcktap 2.0 ns, min falling edge to tdo output valid 3 t tcktdo 11.0 ns, max maximum frequency f tck 33.0 mhz, max ds083-3_11_012104 data to be captured data to be driven out tdo tck tdi tms data valid data valid t tcktdo t taptck t tcktap 1 2 3
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 28 r product not recommen ded for new designs qpro virtex-ii pro pin-to-pin output parameter guidelines all devices are 100% functionally tested. listed below are repr esentative values for typical pin locations and normal clock loading. values are expressed in nanoseconds unless otherwise noted. global clock input to output delay for lvcmos25, 12 ma, fast slew rate, with dcm global clock input to output delay for lvcmos25, 12 ma, fast slew rate, without dcm ta bl e 3 7 : global clock input to output delay for lvcmos25, 12 ma, fast slew rate, with dcm description symbol device speed grade units -6 -5 lvcmos25 global clock input to output delay using output flip-flop, 12 ma, fast slew rate, with dcm. for data output with different standards, adjust the delays with the values shown in "iob output switching characteristics standard adjustments," page 13 . global clock and off with dcm t ickofdcm xq2vp40 ? 1.92 ns XQ2VP70 2.07 2.24 ns notes: 1. listed above are representative values where one global clock input drives one vertical clock line in each accessible column, and where all accessible iob and clb flip-flops are clocked by the global clock net. 2. output timing is measured at 50% v cc threshold with test setup shown in figure 1, page 17 . for other i/o standards, see table 24, page 17 . 3. dcm output jitter is already included in the timing calculation. ta bl e 3 8 : global clock input to output delay for lvcmos25, 12 ma, fast slew rate, without dcm description symbol device speed grade units -6 -5 lvcmos25 global clock input to output delay using output flip-flop, 12 ma, fast slew rate, without dcm. for data output with different standards, adjust the delays with the values shown in "iob output switching characteristics standard adjustments," page 13 . global clock and off without dcm t ickof xq2vp40 ? 4.67 ns XQ2VP70 4.87 5.33 ns notes: 1. listed above are representative values where one global clock input drives one vertical clock line in each accessible column, and where all accessible iob and clb flip-flops are clocked by the global clock net. 2. output timing is measured at 50% v cc threshold with test setup shown in figure 1, page 17 . for other i/o standards, see table 24, page 17 . 3. dcm output jitter is already included in the timing calculation.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 29 r product not recommen ded for new designs qpro virtex-ii pro pin-to-pin input parameter guidelines all devices are 100% functionally tested. listed below are repr esentative values for typical pin locations and normal clock loading. values are expressed in nanoseconds unless otherwise noted global clock set-up and hold for lvcmos25 standard, with dcm global clock set-up and hold for lvcmos25 standard, without dcm , ta bl e 3 9 : global clock set-up and hold for lvcmos25 standard, with dcm description symbol device speed grade units -6 -5 input setup and hold time relative to global clock input signal for lvcmos25 standard. (1) for data input with different standards, adjust the setup time delay by the values shown in "iob input switching characteristics standard adjustments," page 10 . no delay global clock and iff (2) with dcm t psdcm /t phdcm xq2vp40 ? 1.85/?0.60 ns XQ2VP70 1.86/?0.39 1.86/?0.30 ns notes: 1. setup time is measured relative to the global clock input signal with the fastest route and the lightest load. hold time is m easured relative to the global clock input signal with the slowest route and heaviest load. 2. these measurements include: ? clk0 and clk180 dcm jitter ? worst-case duty-cycle distorti on using clk0 and clk180, t dcd_clk180 . 3. iff = input flip-flop or latch ta bl e 4 0 : global clock set-up and hold for lvcmos25 standard, without dcm description symbol device speed grade units -6 -5 input setup and hold time relative to global clock input signal for lvcmos25 standard. for data input with different standards, adjust the setup time delay by the values shown in "iob input switching characteristics standard adjustments," page 10 . full delay global clock and iff without dcm t psfd /t phfd xq2vp40 ? 2.49/?0.54 ns XQ2VP70 2.79/?0.55 2.78/?0.41 ns notes: 1. iff = input flip-flop or latch 2. setup time is measured relative to the global clock input signal with the fastest route and the lightest load. hold time is m easured relative to the global clock input signal with the slowest route and heaviest load. 3. a zero ?0? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ?best-case ?, but if a ?0? is listed, there is no positive hold time.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 30 r product not recommen ded for new designs dcm timing parameters all devices are 100% functionally tested. because of the difficu lty in directly measuring many internal timing parameters, those parameters are derived from benchmark timing patterns. the following guidelines reflect worst-case values across the recommended operating conditions. all output jitter and phase specifications are determined through statistical measurement at the package pins. operating frequency ranges e ta bl e 4 1 : operating frequency ranges description symbol speed grade units -6 -5 output clocks (low frequency mode) clk0, clk90, clk180, clk270 clko ut_freq_1x_lf_min 24.00 24.00 mhz clkout_freq_1x_lf_max 210.00 180.00 mhz clk2x, clk2x180 (5) clkout_freq_2x_lf_min 48.00 48.00 mhz clkout_freq_2x_lf_max 420.00 360.00 mhz clkdv clkout_freq_dv_lf_min 1.50 1.50 mhz clkout_freq_dv_lf_max 140.00 120.00 mhz clkfx, clkfx180 clkout_freq_fx_lf_min 24.00 24.00 mhz clkout_freq_fx_lf_max 240.00 210.00 mhz input clocks (low frequency mode) clkin (using dll outputs) (1,3,4) clkin_freq_dll_lf_min 24.00 24.00 mhz clkin_freq_dll_lf_max 210.00 180.00 mhz clkin (using clkfx outputs) (2,3,4) clkin_freq_fx_lf_min 1.00 1.00 mhz clkin_freq_fx_lf_m ax 240.00 210.00 mhz psclk psclk_freq_lf_min 0.01 0.01 mhz psclk_freq_lf_max 420.00 360.00 mhz output clocks (high frequency mode) clk0, clk180 clkout_freq_1x_hf_min 48.00 48.00 mhz clkout_freq_1x_hf_max 420.00 360.00 mhz clkdv clkout_freq_dv_hf_min 3.00 3.00 mhz clkout_freq_dv_hf_max 280.00 240.00 mhz clkfx, clkfx180 clkout_freq_fx_hf_min 210.00 210.00 mhz clkout_freq_fx_hf_max 320.00 270.00 mhz input clocks (high frequency mode) clkin (using dll outputs) (1,3,4) clkin_freq_dll_hf_min 48.00 48.00 mhz clkin_freq_dll_hf_max 420.00 360.00 mhz clkin (using clkfx outputs) (2,3,4) clkin_freq_fx_hf_min 50.00 50.00 mhz clkin_freq_fx_hf_m ax 320.00 270.00 mhz psclk psclk_freq_hf_min 0.01 0.01 mhz psclk_freq_hf_max 420.00 360.00 mhz notes: 1. ?dll outputs? is used here to describe the outputs: cl k0, clk90, clk180, clk270, clk2x, clk2x180, and clkdv. 2. if both dll and clkfx outputs are used, follow the more restrictive specification. 3. if the clkin_divide_by_2 attribute of the dcm is used, then double these values. 4. if the clkin_divide_by_2 attribute of the dcm is used and clkin frequency > 400 mhz, clkin duty cycle must be within 5% (45/ 55 to 55/45). 5. clk2x and clk2x180 may not be used as the input to the clkfb pin. see the virtex-ii pro platform fpga user guide for more information.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 31 r product not recommen ded for new designs input clock tolerances ta bl e 4 2 : input clock tolerances description symbol constraints f clkin speed grade units -6 -5 min max min max input clock low/high pulse width psclk psclk_pulse < 1mhz 25.00 25.00 ns psclk and clkin (3) psclk_pulse and clkin_pulse 1 ? 10 mhz 25.00 25.00 ns 10 ? 25 mhz 10.00 10.00 ns 25 ? 50 mhz 5.00 5.00 ns 50 ? 100 mhz 3.00 3.00 ns 100 ? 150 mhz 2.40 2.40 ns 150 ? 200 mhz 2.00 2.00 ns 200 ? 250 mhz 1.80 1.80 ns 250 ? 300 mhz 1.50 1.50 ns 300 ? 350 mhz 1.30 1.30 ns 350 ? 400 mhz 1.15 1.15 ns > 400 mhz 1.05 1.05 ns input clock cycle-cycle ji tter (low frequency mode) clkin (using dll outputs) (1) clkin_cyc_jitt_dll_lf 300 300 ps clkin (using clkfx outputs) (2) clkin_cyc_jitt_fx_lf 300 300 ps input clock cycle-cycle jitter (high frequency mode) clkin (using dll outputs) (1) clkin_cyc_jitt_dll_hf 150 150 ps clkin (using clkfx outputs) (2) clkin_cyc_jitt_fx_hf 150 150 ps input clock period jitter (low frequency mode) clkin (using dll outputs) (1) clkin_per_jitt_dll_lf 1 1 ns clkin (using clkfx outputs) (2) clkin_per_jitt_fx_lf 1 1 ns input clock period jitter (high frequency mode) clkin (using dll outputs) (1) clkin_per_jitt_dll_hf 1 1 ns clkin (using clkfx outputs) (2) clkin_per_jitt_fx_hf 1 1 ns feedback clock path delay variation clkfb off-chip feedback clkfb_delay_var_ext 1 1 ns notes: 1. ?dll outputs? is used here to describe the outputs: cl k0, clk90, clk180, clk270, clk2x, clk2x180, and clkdv. 2. if both dll and clkfx outputs are used, follow the more restrictive specification. 3. if dcm phase shift feature is used and clkin frequency > 200 mhz, clkin duty cycle must be within 5% (45/55 to 55/45).
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 32 r product not recommen ded for new designs output clock jitter output clock phase alignment ta bl e 4 3 : output clock jitter description symbol speed grade units -6 -5 clock synthesis period jitter clk0 clkout_per_jitt_0 100 100 ps clk90 clkout_per_jitt_90 150 150 ps clk180 clkout_per_jitt_180 150 150 ps clk270 clkout_per_jitt_270 150 150 ps clk2x, clk2x180 clkout_per_jitt_2x 200 200 ps clkdv (integer division) clkout_per_jitt_dv1 150 150 ps clkdv (non-integer division) clkout_per_jitt_dv2 300 300 ps clkfx, clkfx180 clkout_per_jitt_fx note (1) note (1) ps notes: 1. use the jitter calculator on the xilinx website (http://www.xilinx.com/applications/web_ds_v2/jitter_calc.htm ) for clkfx and clkfx180 output jitter. ta bl e 4 4 : output clock phase alignment description symbol speed grade units -6 -5 phase offset betwee n clkin an d clkfb clkin/clkfb clkin_clkfb_phase 50 50 ps phase offset between any dcm outputs all clk* outputs clkout_phase 140 140 ps duty cycle precision dll outputs (1) clkout_duty_cycle_dll (2) 150 150 ps clkfx outputs clkout_duty _cycle_fx 100 100 ps notes: 1. ?dll outputs? is used here to describe the outputs: cl k0, clk90, clk180, clk270, clk2x, clk2x180, and clkdv. 2. clkout_duty_cycle_dll applies to the 1x clock outputs (clk0, clk90, clk180, and clk270) only if duty_cycle_correction = true. 3. specification also applies to psclk.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 33 r product not recommen ded for new designs miscellaneous timing parameters frequency synthesis parameter cross-reference ta bl e 4 5 : miscellaneous timing parameters description symbol constraints f clkin speed grade units -6 -5 time required to achieve lock using dll outputs (1) lock_dll: lock_dll_60 > 60mhz 20.00 20.00 us lock_dll_50_60 50 - 60 mhz 25.00 25.00 us lock_dll_40_50 40 - 50 mhz 50.00 50.00 us lock_dll_30_40 30 - 40 mhz 90.00 90.00 us lock_dll_24_30 24 - 30 mhz 120.00 120.00 us using clkfx outputs lock_fx_min 10.00 10.00 ms lock_fx_max 10.00 10.00 ms additional lock time with fine phase shifting lock_dll_fine_shift 50.00 50.00 us fine phase shifting absolute shifting range fine_shift_range 10.00 10.00 ns delay lines tap delay resolution dcm_tap_min 30.00 30.00 ps dcm_tap_max 50.00 50.00 ps notes: 1. ?dll outputs? is used here to describe the outputs: cl k0, clk90, clk180, clk270, clk2x, clk2x180, and clkdv. ta bl e 4 6 : frequency synthesis attribute min max clkfx_multiply 232 clkfx_divide 132 ta bl e 4 7 : parameter cross-reference libraries guide data sheet dll_clkout_{min|max}_lf clkout_freq_{1x|2x|dv}_lf dfs_clkout_{min|max}_lf clkout_freq_fx_lf dll_clkin_{min|max}_lf clkin_freq_dll_lf dfs_clkin_{min|max}_lf clkin_freq_fx_lf dll_clkout_{min|max}_hf clkout_freq_{1x|dv}_hf dfs_clkout_{min|max}_hf clkout_freq_fx_hf dll_clkin_{min|max}_hf clkin_freq_dll_hf dfs_clkin_{min|max}_hf clkin_freq_fx_hf
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 34 r product not recommen ded for new designs source-synchronous switching characteristics the parameters in this section provide the necessary values for calculating timing budgets for qpro virtex-ii pro source- synchronous transmitter and receiver data-valid windows. ta bl e 4 8 : duty cycle distortion and clock-tree skew description symbol device speed grade units -6 -5 duty cycle distortion (1) t dcd_local all 0.10 0.20 ns t dcd_clk180 0.11 0.13 ns clock tree skew (2) t ckskew xq2vp40 ? 0.35 ns XQ2VP70 0.59 0.64 ns notes: 1. these parameters represent the worst-case duty cycle distortion observable at the pins of the device using lvds output buffer s. for cases where other i/o standards are used, ibis can be used to calculate any additional duty cycle distortion that might be caused by asymmetrical rise/fall times. t dcd_local applies to cases where the dedicated path from the dcm to the bufg is bypassed and where local (iob) inversion is used to provide the negative-edge clock to the ddr element in the i/o. users must follow the implementation guidelines contained in xapp685 , high-speed clock architecture for ddr designs using local inversion , for these specifications to apply. t dcd_clk180 applies to cases where the clk180 output of the dcm is used to provide the negative-edge clock to the ddr element in the i/o. 2. this value represents the worst-case clock-tree skew observable between sequential i/o elements. significantly less clock-tre e skew exists for i/o registers that are close to each other and fed by the sa me or adjacent clock-tree branches. use the xilinx fpga_editor and timing analyzer tools to evaluate clock skew specific to your application. ta bl e 4 9 : package skew description symbol device/package value units package skew (1) t pkgskew xq2vp40ff1152 92 ps XQ2VP70ff1704 101 ps XQ2VP70ef1704 101 ps notes: 1. these values represent the worst-case skew between any two balls of the package: shortest flight time to longest flight time from pad to ball (7.1 ps per mm). 2. package trace length information is available for these device/package combinations. this information can be used to deskew t he package. ta bl e 5 0 : sample window description symbol device speed grade units -6 -5 sampling error at receiver pins (1) t samp all 0.50 0.50 ns notes: 1. this parameter indicates the total sampling error of qpro virtex-ii pro ddr input registers across voltage, temperature, and process. the characterization methodology uses the dcm to capture the ddr input registers? edges of operation. 2. these measurements include: ? clk0 and clk180 dcm jitter ? worst-case duty-cycle distortion, t dcd_clk180 ? dcm accuracy (phase offset) ? dcm phase shift resolution these measurements do not include package or clock tree skew.
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 35 r product not recommen ded for new designs source synchronous timing budgets this section describes how to use the parameters provided in "source-synchronous switching characteristics," page 34 to develop system-specific timing budgets. the following analysis provides information necessary for determining qpro virtex-ii pro contributions to an overall system timing analysis; no assumptions are made about the effects of inter-symbol interference or pcb skew. qpro virtex-ii pro transmitter data-valid window (t x ) t x is the minimum aggregate valid data period for a source- synchronous data bus at the pins of the device and is calculated as follows: t x = data period - [jitter (1) + duty cycle distortion (2) + tckskew (3) + tpkgskew (4) ] notes: 1. jitter values and accumulation methodology to be provided in a future release of this document. the absolute period jitter values found in the "dcm timing parameters," page 30 section of the particular dcm output clock used to clock the iob ff can be used for a best case analysis. 2. this value depends on the clocking methodology used. see note1 for table 48, page 34 . 3. this value represents the worst-case clock-tree skew observable between sequential i/o elements. significantly less clock-tree skew exists for i/o registers that are close to each other and fed by the same or adjacent clock-tree branches. use the xilinx fpga_editor and timing analyzer tools to evaluate clock skew specific to your application. 4. these values represent the worst-case skew between any two balls of the package: shortest flight time to longest flight time from pad to ball. qpro virtex-ii pro receiver data-valid window (r x ) r x is the required minimum aggregate valid data period for a source-synchronous data bus at the pins of the device and is calculated as follows: r x = [tsamp (1) + tckskew (2) + tpkgskew (3) ] notes: 1. this parameter indicates the total sampling error of qpro virtex-ii pro ddr input registers across voltage, temperature, and process. the characterization methodology uses the dcm to capture the ddr input registers? edges of operation. these measurements include: ? clk0 and clk180 dcm jitter in a quiet system ? worst-case duty-cycle distortion ? dcm accuracy (phase offset) ? dcm phase shift resolution. these measurements do not include package or clock tree skew. 2. this value represents the worst-case clock-tree skew observable between sequential i/o elements. significantly less clock-tree skew exists for i/o registers that are close to each other and fed by the same or adjacent clock-tree branches. use the xilinx fpga_editor and timing analyzer tools to evaluate clock skew specific to your application. 3. these values represent the worst-case skew between any two balls of the package: shortest flight time to longest flight time from pad to ball. ta bl e 5 1 : example pin-to-pin setup/hold: source-synchronous configuration description symbol device speed grade units -6 -5 example data input set-up and hold times relative to a forwarded clock input pin, (1) using dcm and global clock buffer. values represent an 18-bit bus located in banks 2, 3, 6, or 7 and grouped to one horizontal global clock line. trace must be used to determine the actual values for any given design. for situations where clock and data inputs conform to different standards, adjust the setup and hold values accordingly using the values shown in "iob input switching characteristics standard adjustments," page 10 . no delay global clock and iff (2) with dcm t psdcm_0 /t phdcm_0 xq2vp40 0.27/ 0.29 ns XQ2VP70 0.18/ 0.38 0.18/ 0.38 ns notes: 1. the timing values were measured using the fine-phase adjustment feature of the dcm. these measurements include: ? clk0 and clk180 dcm jitter ? worst-case duty-cycle distortion using clk0 and clk180, t dcd_clk180 package skew is not included in these measurements. 2. iff = input flip-flop
dc and switching characteristics ds136-3 (v2.1) july 25, 2011 www.xilinx.com module 3 of 4 product specification 36 r product not recommen ded for new designs revision history this section records the change history for this module of the data sheet. qpro virtex-ii pro data sheet the qpro virtex-ii pro data sheet contains the following modules: ? "introduction and overview" (module 1) ? "functional descrip tion" (module 2) ? "dc and switching characteristics" (module 3) ? "pinout information" (module 4) notice of disclaimer the xilinx hardware fpga and cpld devices referred to herein (?products?) are subject to the terms and conditions of the xilinx limite d warranty which can be viewed at http://www.xilinx.com/warranty.htm . this limited warranty does not extend to any use of products in an application or environment that is not within the specifications stated in the xilinx data sheet. all specifications are subject to change without notice. products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance, such as life-support or safety devic es or systems, or any other application that invokes the potential risks of death, personal injury, or property or environmental damage (?critical applications?). use of products in critical applications is at the sole risk of customer, subject to applicable laws and regulations. date version revision 11/29/06 1.0 initial xilinx release. 12/20/07 2.0 ? change data sheet title. ? added support for XQ2VP70-6ef1704i. ? updated the values in table 5, page 3 and table 6, page 3 . ? removed support for xqv2p70-6mf1704i. ? updated document template. ? updated urls. 07/25/11 2.1 added product not recommended for new designs banner. changed the i trx typical value in ta b l e 3 .
ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 1 ? 2006?2011 xilinx, inc. all rights reserved. xilinx, the xilinx logo, the brand window, and other designated brands included h erein are trademarks of xilinx, inc. all other trademarks are the property of their respective owners. product not recommen ded for new designs this document provides qpro virtex?-ii pro device/package combinations, maximum i/os, and qpro virtex-ii pro pin definitions, followed by pinout tables and package specifications, for the following packages: ? "fg676 fine-pitch bga package," page 5 ? "ef1152, and ff1152 flip-chip fine-pitch bga packages," page 23 ? "ef1704, and ff1704 flip-chip fine-pitch bga packages," page 52 for device pinout diagrams and layout guidelines, refer to the ug012 , virtex-ii pro platform fpga user guide. ascii package pinout files are also available for download from the xilinx website ( www.xilinx.com ). qpro virtex-ii pro device/package combinations and maximum i/os wire-bond and flip-chip packages are available. ta bl e 1 and ta b l e 2 show the maximum number of user i/os possible in wire-bond and flip-chip packages, respectively. ? fg denotes wire-bond fine-pitch bga (1.00 mm pitch). ? ff denotes flip-chip fine-pitch bga (1.00 mm pitch). ? ef denotes flip-chip fine-pitch bga with epoxy-coated chip capacitors (1.00 mm pitch). ta bl e 3 shows the number of available i/os and the number of differential i/o pairs for each qpro virtex-ii pro device/package combination. the number of i/os per package includes all user i/os except the fifteen control pins (cclk, done, m0, m1, m2, prog_b, pwrdwn_b, tck, tdi, tdo, tms, hswap_en, dxn, dxp, and rsvd), and the nine (per transceiver) rocketio? mgt pins (txp, txn, rxp, rxn, avccauxtx, avccauxrx, vttx, vtrx, and gnda). note: rocketio multi-gigabit transceivers (mgts) are not supported in qpro virtex-ii pro fpgas. . 9 4 qpro virtex-ii pro 1.5v platform fpgas: pinout information ds136-4 (v2.1) july 25, 2011 product specification r ta bl e 1 : wire-bond packages information package fg676 pitch (mm) 1.00 size (mm) 26 x 26 maximum i/os 412 ta b l e 2 : flip-chip packages information package ff1152 ef1704 ff1704 pitch (mm) 1.00 1.00 size (mm) 35 x 35 42.5 x 42.5 maximum i/os 644 1040 ta bl e 3 : qpro virtex-ii pro available user i/os and differential pairs per device/package combination device user i/os qpro virtex-ii pro package fg676 ff1152 ef1704 ff1704 xq2vp40 available user i/os 416 692 ? differential i/o pairs 202 340 ? XQ2VP70 available user i/os ? ? 996 differential i/o pairs ? ? 492
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 2 r product not recommen ded for new designs qpro virtex-ii pro pin definitions this section describes the pinouts for qpro virtex-ii pro devices in the following packages: ? fg676 fine-pitch bga package ? ef1152, and ff1152 flip-chip fine-pitch bga packages ? ef1704, and ff1704 flip-chip fine-pitch bga packages all of the devices supported in a particular package are pinout-compatible and are listed in the same table (one table per package). pins that are not available for smaller devices are listed in right-hand columns as no connects. each device is split into eight i/o banks to allow for flexibilit y in the choice of i/o standards. global pins, including jtag, configuration, and power/ground pins, are listed at the end of each table. ta bl e 4 provides definitions for all pin types. all qpro virtex-ii pro pinout tables are available online (at www.xilinx.com ). pin definitions ta bl e 4 provides a description of each pin type listed in qpro virtex-ii pro pinout tables. ta bl e 4 : qpro virtex-ii pro pin definitions pin name direction description user i/o pins: io_lxxy_# input/output/bi directional all user i/o pins are capable of differential signalling and can implement lvds, ulvds, blvds, lvpecl, or ldt pairs. each user i/o is labeled ? io_lxxy_# ?, where: ? io indicates a user i/o pin. ? lxxy indicates a differential pair, with xx a unique pair in the bank and y = p/n for the positive and negative sides of the differential pair. ? # indicates the bank number (0 through 7) dual-function pins: io_lxxy_#/zzz the dual-function pins are labelled ? io_lxxy_# / zzz ?, where " zzz" can be one of the following pins: ? per bank - vrp, vrn, or vref ? globally - gclkx(s/p), busy/dout, init_b, d0/din ? d7, rdwr_b, or cs_b these dual functions are defined in the following section: "zzz" (dual function) definitions: d0/din, d1, d2, d3, d4, d5, d6, d7 input/output ? in selectmap mode, d0 through d7 are configuration data pins. these pins become user i/os after configuration, unless the selectmap port is retained. ? in bit-serial modes, din (d0) is the single-data input. this pin becomes a user i/o after configuration. cs_b input in selectmap mode, this is the active-low chip select signal. the pin becomes a user i/o after configuration, unless the selectmap port is retained. rdwr_b input in selectmap mode, this is the active-low write enable signal. the pin becomes a user i/o after configuration, unless th e selectmap port is retained. busy/dout output ? in selectmap mode, busy controls the rate at which configuration data is loaded. the pin becomes a user i/o after configuration, unless the selectmap port is retained. ? in bit-serial modes, dout provides preamble and c onfiguration data to downstream devices in a daisy-chain. the pin becomes a user i/o after configuration. init_b bidirectional (open-drain) when low, this pin indicates that the config uration memory is being cleared. when held low, the start of configuration is delayed. during conf iguration, a low on this output indicates that a configuration data error has occurred. the pin becomes a user i/o after configuration. gclkx (s/p) input/output these are clock input pins that connect to glob al clock buffers. these pins become regular user i/os when not needed for clocks. in addition, these pins can be used to clock the rocketio transceiver. see the ug024 , rocketio ? transceiver user guide, for design guidelines and brefclk-specific pins, by device. vrp input this pin is for the dci voltage refe rence resistor of p transistor (per bank). vrn input this pin is for the dci voltage refer ence resistor of n transistor (per bank). v ref input these are input threshold voltage pins. they become user i/os when an external threshold voltage is not needed (per bank).
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 3 r product not recommen ded for new designs dedicated pins: (1) cclk input/output configuration clock. output in master mode or input in slave mode. prog_b input active low asynchronous reset to configuration logic. this pin has a permanent weak pull- up resistor. done input/output done is a bidirectional signal with an optional internal pull-up resistor. as an output, this pin indicates completion of the configuration proces s. as an input, a low level on done can be configured to delay the start-up sequence. m2, m1, m0 input configuration mode selection. pin is biased by v ccaux (must be 2.5v). these pins should not connect to 3.3v unless 100 ? series resistors are used. the mode pins are not to be toggled (changed) while in operation during and after configuration. hswap_en input enable i/o pull-ups during configuration. tck input boundary-scan clock. this pin is 3.3v compatible. tdi input boundary-scan data input. this pin is 3.3v compatible. tdo output (open-drain) boundary-scan data output. pin is open-drain and can be pulled up to 3.3v. it is recommended that the external pull-up be greater than 200 ?? . there is no internal pull-up. tms input boundary-scan mode select. this pin is 3.3v compatible. pwrdwn_b input (unsupported) active low power-down pin (unsupported). driving this pin low can adversely affect device operation and configuration. pwrdwn_b is internally pulled high, which is its default state. it does not require an external pull-up. other pins: dxn, dxp n/a temperature-s ensing diode pins (anode: dxp, cathode: dxn). v batt input decryptor key memory backup supply. (connect to v ccaux or gnd if battery not used.) rsvd n/a reserved pin - do not connect. v cco input power-supply pins for the output drivers (per bank). v ccaux input power-supply pins for auxiliary circuits. v ccint input power-supply pins for the internal core logic. gnd input ground. avccauxrx# (3) input analog power supply for receive circuitry of the rocketio mgt (2.5v). avccauxtx# (3) input analog power supply for transmit circuitry of the rocketio mgt (2.5v). brefclkn, brefclkp (2,3) input differential clock input that clocks the rocketio x mgts populating the same side of the chip (top or bottom). can also drive dcms for rocketio x mgt use. vtrxpad# (3) input receive termination supply for the rocketio mgt (1.8v - 2.8v). vttxpad# (3) input transmit termination supply for the rocketio mgt (1.8v - 2.8v). gnda# (3) input ground for the analog circuitry of the rocketio mgt. rxppad# (3) input positive differential receive port of the rocketio mgt. rxnpad# (3) input negative differential receive port of the rocketio mgt. txppad# (3) output positive differential tr ansmit port of the rocketio mgt. txnpad# (3) output negative differential transmit port of the rocketio mgt. notes: 1. all dedicated pins (jtag and configuration) are powered by v ccaux (independent of the bank v cco voltage). 2. for more information on brefclk, see "brefclk pin definitions," page 4 . 3. rocketio? multi-gigabit transceivers (mgts) ar e not supported in qpro virtex-ii pro fpgas. ta bl e 4 : qpro virtex-ii pro pin definitions (cont?d) pin name direction description
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 4 r product not recommen ded for new designs brefclk pin definitions these dedicated clocks use the same clock inputs for all packages ( ta b l e 5 ): for detailed information about using brefclk/brefclk2, including routing considerations and pin numbers for all package types, refer to chapter 2, "digital design considerations," in the rocketio transceiver user guide . ta bl e 5 : brefclk pin definitions to p brefclk pgclk4s bottom brefclk pgclk6p n gclk5p n gclk7s brefclk2 pgclk2s brefclk2 pgclk0p n gclk3p n gclk1s
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 5 r product not recommen ded for new designs fg676 fine-pitch bga package qpro virtex-ii pro xq2vp40 devices are available in the fg676 fine-pitch bga package. following the pin listing in ta bl e 6 are the "fg676 fine-pitch bga package specifications (1.00mm pitch)," page 22 . ta bl e 6 : fg676 ? xq2vp40 bank pin description pin number 0 io_l01n_0/vrp_0 e5 0 io_l01p_0/vrn_0 d5 0 io_l02n_0 e6 0 io_l02p_0 d6 0 io_l03n_0 g7 0 io_l03p_0/vref_0 f7 0 io_l05_0/no_pair e7 0 io_l06n_0 d7 0 io_l06p_0 c7 0 io_l07n_0 h8 0 io_l07p_0 g8 0 io_l09n_0 f8 0 io_l09p_0/vref_0 e8 0 io_l37n_0 b8 0 io_l37p_0 a8 0 io_l39n_0 h9 0 io_l39p_0 g9 0 io_l43n_0 f9 0 io_l43p_0 e9 0 io_l45n_0 d9 0 io_l45p_0/vref_0 c9 0 io_l46n_0 h10 0 io_l46p_0 h11 0 io_l48n_0 e10 0 io_l48p_0 e11 0 io_l49n_0 d10 0 io_l49p_0 c10 0 io_l50_0/no_pair g11 0 io_l53_0/no_pair f11 0 io_l54n_0 j12 0 io_l54p_0 h12 0 io_l55n_0 g12 0 io_l55p_0 f12 0 io_l57n_0 e12 0 io_l57p_0/vref_0 f13 0 io_l67n_0 d12 0 io_l67p_0 c12
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 6 r product not recommen ded for new designs 0 io_l69n_0 j13 0 io_l69p_0/vref_0 h13 0 io_l74n_0/gclk7p e13 0 io_l74p_0/gclk6s d13 0 io_l75n_0/gclk5p c13 0 io_l75p_0/gclk4s b13 1 io_l75n_1/gclk3p b14 1 io_l75p_1/gclk2s c14 1 io_l74n_1/gclk1p d14 1 io_l74p_1/gclk0s e14 1 io_l69n_1/vref_1 h14 1 io_l69p_1 j14 1 io_l67n_1 c15 1 io_l67p_1 d15 1 io_l57n_1/vref_1 f14 1 io_l57p_1 e15 1 io_l55n_1 f15 1 io_l55p_1 g15 1 io_l54n_1 h15 1 io_l54p_1 j15 1 io_l53_1/no_pair f16 1 io_l50_1/no_pair g16 1 io_l49n_1 c17 1 io_l49p_1 d17 1 io_l48n_1 e16 1 io_l48p_1 e17 1 io_l46n_1 h16 1 io_l46p_1 h17 1 io_l45n_1/vref_1 c18 1 io_l45p_1 d18 1 io_l43n_1 e18 1 io_l43p_1 f18 1 io_l39n_1 g18 1 io_l39p_1 h18 1 io_l37n_1 a19 1 io_l37p_1 b19 1 io_l09n_1/vref_1 e19 1 io_l09p_1 f19 1 io_l07n_1 g19 1 io_l07p_1 h19 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 7 r product not recommen ded for new designs 1 io_l06n_1 c20 1 io_l06p_1 d20 1 io_l05_1/no_pair e20 1 io_l03n_1/vref_1 f20 1 io_l03p_1 g20 1 io_l02n_1 d21 1 io_l02p_1 e21 1 io_l01n_1/vrp_1 d22 1 io_l01p_1/vrn_1 e22 2 io_l01n_2/vrp_2 c25 2 io_l01p_2/vrn_2 c26 2 io_l02n_2 d25 2 io_l02p_2 d26 2 io_l03n_2 e23 2 io_l03p_2 f22 2 io_l04n_2/vref_2 e25 2 io_l04p_2 e26 2 io_l06n_2 f21 2 io_l06p_2 g21 2 io_l24n_2 f23 2 io_l24p_2 f24 2 io_l31n_2 f25 2 io_l31p_2 f26 2 io_l32n_2 g22 2 io_l32p_2 h22 2 io_l34n_2/vref_2 g23 2 io_l34p_2 g24 2 io_l36n_2 g25 2 io_l36p_2 g26 2 io_l37n_2 h20 2 io_l37p_2 h21 2 io_l38n_2 h25 2 io_l38p_2 h26 2 io_l40n_2/vref_2 j19 2 io_l40p_2 j20 2 io_l42n_2 j21 2 io_l42p_2 j22 2 io_l43n_2 j23 2 io_l43p_2 j24 2 io_l44n_2 j25 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 8 r product not recommen ded for new designs 2 io_l44p_2 j26 2 io_l46n_2/vref_2 k19 2 io_l46p_2 l19 2 io_l48n_2 k22 2 io_l48p_2 k23 2 io_l49n_2 k24 2 io_l49p_2 l24 2 io_l50n_2 k25 2 io_l50p_2 k26 2 io_l52n_2/vref_2 l20 2 io_l52p_2 m20 2 io_l54n_2 l21 2 io_l54p_2 l22 2 io_l55n_2 l25 2 io_l55p_2 l26 2 io_l56n_2 m18 2 io_l56p_2 m19 2 io_l58n_2/vref_2 m21 2 io_l58p_2 n21 2 io_l60n_2 m22 2 io_l60p_2 m23 2 io_l85n_2 m25 2 io_l85p_2 m26 2 io_l86n_2 n18 2 io_l86p_2 n19 2 io_l88n_2/vref_2 n22 2 io_l88p_2 n23 2 io_l90n_2 n24 2 io_l90p_2 n25 3 io_l90n_3 p25 3 io_l90p_3 p24 3 io_l89n_3 p23 3 io_l89p_3 p22 3 io_l87n_3/vref_3 p19 3 io_l87p_3 p18 3 io_l85n_3 r26 3 io_l85p_3 r25 3 io_l60n_3 r23 3 io_l60p_3 r22 3 io_l59n_3 p21 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 9 r product not recommen ded for new designs 3 io_l59p_3 r21 3 io_l57n_3/vref_3 r19 3 io_l57p_3 r18 3 io_l55n_3 t26 3 io_l55p_3 t25 3 io_l54n_3 t22 3 io_l54p_3 t21 3 io_l53n_3 r20 3 io_l53p_3 t20 3 io_l51n_3/vref_3 u26 3 io_l51p_3 u25 3 io_l49n_3 t24 3 io_l49p_3 u24 3 io_l48n_3 u23 3 io_l48p_3 u22 3 io_l47n_3 t19 3 io_l47p_3 u19 3 io_l45n_3/vref_3 v26 3 io_l45p_3 v25 3 io_l43n_3 v24 3 io_l43p_3 v23 3 io_l42n_3 v22 3 io_l42p_3 v21 3 io_l41n_3 v20 3 io_l41p_3 v19 3 io_l39n_3/vref_3 w26 3 io_l39p_3 w25 3 io_l37n_3 w21 3 io_l37p_3 w20 3 io_l36n_3 y26 3 io_l36p_3 y25 3 io_l35n_3 y24 3 io_l35p_3 y23 3 io_l33n_3/vref_3 w22 3 io_l33p_3 y22 3 io_l31n_3 aa26 3 io_l31p_3 aa25 3 io_l24n_3 aa24 3 io_l24p_3 aa23 3 io_l23n_3 y21 3 io_l23p_3 aa21 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 10 r product not recommen ded for new designs 3 io_l06n_3 ab26 3 io_l06p_3 ab25 3 io_l05n_3 aa22 3 io_l05p_3 ab23 3 io_l03n_3/vref_3 ac26 3 io_l03p_3 ac25 3 io_l02n_3 ac24 3 io_l02p_3 ad25 3 io_l01n_3/vrp_3 ad26 3 io_l01p_3/vrn_3 ae26 4 io_l01n_4/busy/dout (1) ab22 4 io_l01p_4/init_b ac22 4 io_l02n_4/d0/din (1) ab21 4 io_l02p_4/d1 ac21 4 io_l03n_4/d2 y20 4 io_l03p_4/d3 aa20 4 io_l05_4/no_pair ab20 4 io_l06n_4/vrp_4 ac20 4 io_l06p_4/vrn_4 ad20 4 io_l07n_4 w19 4 io_l07p_4/vref_4 y19 4 io_l09n_4 aa19 4 io_l09p_4/vref_4 ab19 4 io_l37n_4 ae19 4 io_l37p_4 af19 4 io_l39n_4 w18 4 io_l39p_4 y18 4 io_l43n_4 aa18 4 io_l43p_4 ab18 4 io_l45n_4 ac18 4 io_l45p_4/vref_4 ad18 4 io_l46n_4 w17 4 io_l46p_4 w16 4 io_l48n_4 ab17 4 io_l48p_4 ab16 4 io_l49n_4 ac17 4 io_l49p_4 ad17 4 io_l50_4/no_pair y16 4 io_l53_4/no_pair aa16 4 io_l54n_4 v15 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 11 r product not recommen ded for new designs 4 io_l54p_4 w15 4 io_l55n_4 y15 4 io_l55p_4 aa15 4 io_l57n_4 ab15 4 io_l57p_4/vref_4 aa14 4 io_l67n_4 ac15 4 io_l67p_4 ad15 4 io_l69n_4 v14 4 io_l69p_4/vref_4 w14 4 io_l74n_4/gclk3s ab14 4 io_l74p_4/gclk2p ac14 4 io_l75n_4/gclk1s ad14 4 io_l75p_4/gclk0p ae14 5 io_l75n_5/gclk7s ae13 5 io_l75p_5/gclk6p ad13 5 io_l74n_5/gclk5s ac13 5 io_l74p_5/gclk4p ab13 5 io_l69n_5/vref_5 w13 5 io_l69p_5 v13 5 io_l67n_5 ad12 5 io_l67p_5 ac12 5 io_l57n_5/vref_5 aa13 5 io_l57p_5 ab12 5 io_l55n_5 aa12 5 io_l55p_5 y12 5 io_l54n_5 w12 5 io_l54p_5 v12 5 io_l53_5/no_pair aa11 5 io_l50_5/no_pair y11 5 io_l49n_5 ad10 5 io_l49p_5 ac10 5 io_l48n_5 ab11 5 io_l48p_5 ab10 5 io_l46n_5 w11 5 io_l46p_5 w10 5 io_l45n_5/vref_5 ad9 5 io_l45p_5 ac9 5 io_l43n_5 ab9 5 io_l43p_5 aa9 5 io_l39n_5 y9 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 12 r product not recommen ded for new designs 5 io_l39p_5 w9 5 io_l37n_5 af8 5 io_l37p_5 ae8 5 io_l09n_5/vref_5 ab8 5 io_l09p_5 aa8 5 io_l07n_5/vref_5 y8 5 io_l07p_5 w8 5 io_l06n_5/vrp_5 ad7 5 io_l06p_5/vrn_5 ac7 5 io_l05_5/no_pair ab7 5 io_l03n_5/d4 aa7 5 io_l03p_5/d5 y7 5 io_l02n_5/d6 ac6 5 io_l02p_5/d7 ab6 5 io_l01n_5/rdwr_b ac5 5 io_l01p_5/cs_b ab5 6 io_l01p_6/vrn_6 ae1 6 io_l01n_6/vrp_6 ad1 6 io_l02p_6 ad2 6 io_l02n_6 ac3 6 io_l03p_6 ac2 6 io_l03n_6/vref_6 ac1 6 io_l05p_6 ab4 6 io_l05n_6 aa5 6 io_l06p_6 ab2 6 io_l06n_6 ab1 6 io_l23p_6 aa6 6 io_l23n_6 y6 6 io_l24p_6 aa4 6 io_l24n_6 aa3 6 io_l31p_6 aa2 6 io_l31n_6 aa1 6 io_l33p_6 y5 6 io_l33n_6/vref_6 w5 6 io_l35p_6 y4 6 io_l35n_6 y3 6 io_l36p_6 y2 6 io_l36n_6 y1 6 io_l37p_6 w7 6 io_l37n_6 w6 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 13 r product not recommen ded for new designs 6 io_l39p_6 w2 6 io_l39n_6/vref_6 w1 6 io_l41p_6 v8 6 io_l41n_6 v7 6 io_l42p_6 v6 6 io_l42n_6 v5 6 io_l43p_6 v4 6 io_l43n_6 v3 6 io_l45p_6 v2 6 io_l45n_6/vref_6 v1 6 io_l47p_6 u8 6 io_l47n_6 t8 6 io_l48p_6 u5 6 io_l48n_6 u4 6 io_l49p_6 u3 6 io_l49n_6 t3 6 io_l51p_6 u2 6 io_l51n_6/vref_6 u1 6 io_l53p_6 t7 6 io_l53n_6 r7 6 io_l54p_6 t6 6 io_l54n_6 t5 6 io_l55p_6 t2 6 io_l55n_6 t1 6 io_l57p_6 r9 6 io_l57n_6/vref_6 r8 6 io_l59p_6 r6 6 io_l59n_6 p6 6 io_l60p_6 r5 6 io_l60n_6 r4 6 io_l85p_6 r2 6 io_l85n_6 r1 6 io_l87p_6 p9 6 io_l87n_6/vref_6 p8 6 io_l89p_6 p5 6 io_l89n_6 p4 6 io_l90p_6 p3 6 io_l90n_6 p2 7 io_l90p_7 n2 7 io_l90n_7 n3 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 14 r product not recommen ded for new designs 7 io_l88p_7 n4 7 io_l88n_7/vref_7 n5 7 io_l86p_7 n8 7 io_l86n_7 n9 7 io_l85p_7 m1 7 io_l85n_7 m2 7 io_l60p_7 m4 7 io_l60n_7 m5 7 io_l58p_7 n6 7 io_l58n_7/vref_7 m6 7 io_l56p_7 m8 7 io_l56n_7 m9 7 io_l55p_7 l1 7 io_l55n_7 l2 7 io_l54p_7 l5 7 io_l54n_7 l6 7 io_l52p_7 m7 7 io_l52n_7/vref_7 l7 7 io_l50p_7 k1 7 io_l50n_7 k2 7 io_l49p_7 l3 7 io_l49n_7 k3 7 io_l48p_7 k4 7 io_l48n_7 k5 7 io_l46p_7 l8 7 io_l46n_7/vref_7 k8 7 io_l44p_7 j1 7 io_l44n_7 j2 7 io_l43p_7 j3 7 io_l43n_7 j4 7 io_l42p_7 j5 7 io_l42n_7 j6 7 io_l40p_7 j7 7 io_l40n_7/vref_7 j8 7 io_l38p_7 h1 7 io_l38n_7 h2 7 io_l37p_7 h6 7 io_l37n_7 h7 7 io_l36p_7 g1 7 io_l36n_7 g2 7 io_l34p_7 g3 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 15 r product not recommen ded for new designs 7 io_l34n_7/vref_7 g4 7 io_l32p_7 h5 7 io_l32n_7 g5 7 io_l31p_7 f1 7 io_l31n_7 f2 7 io_l24p_7 f3 7 io_l24n_7 f4 7 io_l06p_7 g6 7 io_l06n_7 f6 7 io_l04p_7 e1 7 io_l04n_7/vref_7 e2 7 io_l03p_7 f5 7 io_l03n_7 e4 7 io_l02p_7 d1 7 io_l02n_7 d2 7 io_l01p_7/vrn_7 c1 7 io_l01n_7/vrp_7 c2 0vcco_0 c5 0vcco_0 c8 0vcco_0 d11 0vcco_0 j10 0vcco_0 j11 0vcco_0 k12 0vcco_0 k13 1vcco_1 c19 1vcco_1 c22 1vcco_1 d16 1vcco_1 j16 1vcco_1 j17 1vcco_1 k14 1vcco_1 k15 2vcco_2 e24 2vcco_2 h24 2vcco_2 k18 2vcco_2 l18 2vcco_2 l23 2vcco_2 m17 2vcco_2 n17 3vcco_3 p17 3vcco_3 r17 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 16 r product not recommen ded for new designs 3vcco_3 t18 3vcco_3 t23 3vcco_3 u18 3vcco_3 w24 3 vcco_3 ab24 4vcco_4 u14 4vcco_4 u15 4vcco_4 v16 4vcco_4 v17 4 vcco_4 ac16 4vcco_4 ad19 4vcco_4 ad22 5vcco_5 u12 5vcco_5 u13 5vcco_5 v10 5vcco_5 v11 5 vcco_5 ac11 5 vcco_5 ad5 5 vcco_5 ad8 6vcco_6 p10 6vcco_6 r10 6vcco_6 t4 6vcco_6 t9 6vcco_6 u9 6vcco_6 w3 6vcco_6 ab3 7vcco_7 e3 7vcco_7 h3 7vcco_7 k9 7vcco_7 l4 7vcco_7 l9 7vcco_7 m10 7vcco_7 n10 n/a prog_b b1 n/a hswap_en b3 n/a dxp a3 n/a dxn c4 n/a avccauxtx4 b5 n/a vttxpad4 b4 n/a txnpad4 a4 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 17 r product not recommen ded for new designs n/a txppad4 a5 n/a gnda4 c6 n/a rxppad4 a6 n/a rxnpad4 a7 n/a vtrxpad4 b6 n/a avccauxrx4 b7 n/a avccauxtx6 b10 n/a vttxpad6 b9 n/a txnpad6 a9 n/a txppad6 a10 n/a gnda6 c11 n/a rxppad6 a11 n/a rxnpad6 a12 n/a vtrxpad6 b11 n/a avccauxrx6 b12 n/a avccauxtx7 b16 n/a vttxpad7 b15 n/a txnpad7 a15 n/a txppad7 a16 n/a gnda7 c16 n/a rxppad7 a17 n/a rxnpad7 a18 n/a vtrxpad7 b17 n/a avccauxrx7 b18 n/a avccauxtx9 b21 n/a vttxpad9 b20 n/a txnpad9 a20 n/a txppad9 a21 n/a gnda9 c21 n/a rxppad9 a22 n/a rxnpad9 a23 n/a vtrxpad9 b22 n/a avccauxrx9 b23 n/a rsvd c23 n/a vbatt a24 n/a tms b24 n/a tck b26 n/a tdo d24 n/a cclk ae24 n/a pwrdwn_b af24 n/a done ad23 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 18 r product not recommen ded for new designs n/a avccauxrx16 ae23 n/a vtrxpad16 ae22 n/a rxnpad16 af23 n/a rxppad16 af22 n/a gnda16 ad21 n/a txppad16 af21 n/a txnpad16 af20 n/a vttxpad16 ae20 n/a avccauxtx16 ae21 n/a avccauxrx18 ae18 n/a vtrxpad18 ae17 n/a rxnpad18 af18 n/a rxppad18 af17 n/a gnda18 ad16 n/a txppad18 af16 n/a txnpad18 af15 n/a vttxpad18 ae15 n/a avccauxtx18 ae16 n/a avccauxrx19 ae12 n/a vtrxpad19 ae11 n/a rxnpad19 af12 n/a rxppad19 af11 n/a gnda19 ad11 n/a txppad19 af10 n/a txnpad19 af9 n/a vttxpad19 ae9 n/a avccauxtx19 ae10 n/a avccauxrx21 ae7 n/a vtrxpad21 ae6 n/a rxnpad21 af7 n/a rxppad21 af6 n/a gnda21 ad6 n/a txppad21 af5 n/a txnpad21 af4 n/a vttxpad21 ae4 n/a avccauxtx21 ae5 n/a m2 ad4 n/a m0 af3 n/a m1 ae3 n/a tdi d3 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 19 r product not recommen ded for new designs n/a vccint g10 n/a vccint g13 n/a vccint g14 n/a vccint g17 n/a vccint j9 n/a vccint j18 n/a vccint k7 n/a vccint k10 n/a vccint k11 n/a vccint k16 n/a vccint k17 n/a vccint k20 n/a vccint l10 n/a vccint l17 n/a vccint n7 n/a vccint n20 n/a vccint p7 n/a vccint p20 n/a vccint t10 n/a vccint t17 n/a vccint u7 n/a vccint u10 n/a vccint u11 n/a vccint u16 n/a vccint u17 n/a vccint u20 n/a vccint v9 n/a vccint v18 n/a vccint y10 n/a vccint y13 n/a vccint y14 n/a vccint y17 n/a vccaux a2 n/a vccaux a13 n/a vccaux a14 n/a vccaux a25 n/a vccaux n1 n/a vccaux n26 n/a vccaux p1 n/a vccaux p26 n/a vccaux af2 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 20 r product not recommen ded for new designs n/a vccaux af13 n/a vccaux af14 n/a vccaux af25 n/a gnd a1 n/a gnd a26 n/a gnd b2 n/a gnd b25 n/a gnd c3 n/a gnd c24 n/a gnd d4 n/a gnd d8 n/a gnd d19 n/a gnd d23 n/a gnd f10 n/a gnd f17 n/a gnd h4 n/a gnd h23 n/a gnd k6 n/a gnd k21 n/a gnd l11 n/a gnd l12 n/a gnd l13 n/a gnd l14 n/a gnd l15 n/a gnd l16 n/a gnd m3 n/a gnd m11 n/a gnd m12 n/a gnd m13 n/a gnd m14 n/a gnd m15 n/a gnd m16 n/a gnd m24 n/a gnd n11 n/a gnd n12 n/a gnd n13 n/a gnd n14 n/a gnd n15 n/a gnd n16 n/a gnd p11 n/a gnd p12 ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 21 r product not recommen ded for new designs n/a gnd p13 n/a gnd p14 n/a gnd p15 n/a gnd p16 n/a gnd r3 n/a gnd r11 n/a gnd r12 n/a gnd r13 n/a gnd r14 n/a gnd r15 n/a gnd r16 n/a gnd r24 n/a gnd t11 n/a gnd t12 n/a gnd t13 n/a gnd t14 n/a gnd t15 n/a gnd t16 n/a gnd u6 n/a gnd u21 n/a gnd w4 n/a gnd w23 n/a gnd aa10 n/a gnd aa17 n/a gnd ac4 n/a gnd ac8 n/a gnd ac19 n/a gnd ac23 n/a gnd ad3 n/a gnd ad24 n/a gnd ae2 n/a gnd ae25 n/a gnd af1 n/a gnd af26 notes: 1. see table 4, page 2 for an explanation of the signals available on this pin. ta bl e 6 : fg676 ? xq2vp40 (cont?d) bank pin description pin number
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 22 r product not recommen ded for new designs fg676 fine-pitch bga package specifications (1.00mm pitch) x-ref target - figure 1 figure 1: fg676 fine-pitch bga package specifications d s 1 3 6_4_01_062411
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 23 r product not recommen ded for new designs ef1152, and ff1152 flip-chi p fine-pitch bga packages qpro virtex-ii pro xq2vp40 devices are available in the ff1152 and ef1152 flip-chip fine-pitch bga packages. following the pin listing in ta bl e 7 are the "ef1152, and ff1152 flip-chip fine-pitch bga pack age specifications (1.00mm pitch)," page 51 . ta bl e 7 : ef1152 and ff1152 ? xq2vp40 bank pin description pi n number no connects 0 io_l01n_0/vrp_0 e29 0 io_l01p_0/vrn_0 e28 0 io_l02n_0 h26 0 io_l02p_0 g26 0 io_l03n_0 h25 0 io_l03p_0/vref_0 g25 0 io_l05_0/no_pair j25 0 io_l06n_0 k24 0 io_l06p_0 j24 0 io_l07n_0 f26 0 io_l07p_0 e26 0 io_l08n_0 d30 0 io_l08p_0 d29 0 io_l09n_0 k23 0 io_l09p_0/vref_0 j23 0 io_l19n_0 f24 0 io_l19p_0 e24 0 io_l20n_0 d28 0 io_l20p_0 c28 0 io_l21n_0 h24 0 io_l21p_0 g24 0 io_l25n_0 g23 0 io_l25p_0 f23 0 io_l26n_0 e27 0 io_l26p_0 d27 0 io_l27n_0 k22 0 io_l27p_0/vref_0 j22 0 io_l37n_0 h22 0 io_l37p_0 g22 0 io_l38n_0 d26 0 io_l38p_0 c26 0 io_l39n_0 k21 0 io_l39p_0 j21 0 io_l43n_0 f22 0 io_l43p_0 e22 0 io_l44n_0 e25 0 io_l44p_0 d25 0 io_l45n_0 h21
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 24 r product not recommen ded for new designs 0 io_l45p_0/vref_0 g21 0 io_l46n_0 d22 0 io_l46p_0 d23 0 io_l47n_0 d24 0 io_l47p_0 c24 0 io_l48n_0 k20 0 io_l48p_0 j20 0 io_l49n_0 f21 0 io_l49p_0 e21 0 io_l50_0/no_pair c21 0 io_l53_0/no_pair c22 0 io_l54n_0 l19 0 io_l54p_0 k19 0 io_l55n_0 g20 0 io_l55p_0 f20 0 io_l56n_0 d21 0 io_l56p_0 d20 0 io_l57n_0 j19 0 io_l57p_0/vref_0 h19 0 io_l67n_0 g19 0 io_l67p_0 f19 0 io_l68n_0 e19 0 io_l68p_0 d19 0 io_l69n_0 l18 0 io_l69p_0/vref_0 k18 0 io_l73n_0 g18 0 io_l73p_0 f18 0 io_l74n_0/gclk7p e18 0 io_l74p_0/gclk6s d18 0 io_l75n_0/gclk5p j18 0 io_l75p_0/gclk4s h18 1 io_l75n_1/gclk3p h17 1 io_l75p_1/gclk2s j17 1 io_l74n_1/gclk1p d17 1 io_l74p_1/gclk0s e17 1 io_l73n_1 f17 1 io_l73p_1 g17 1 io_l69n_1/vref_1 k17 1 io_l69p_1 l17 1 io_l68n_1 d16 1 io_l68p_1 e16 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 25 r product not recommen ded for new designs 1 io_l67n_1 f16 1 io_l67p_1 g16 1 io_l57n_1/vref_1 h16 1 io_l57p_1 j16 1 io_l56n_1 d15 1 io_l56p_1 d14 1 io_l55n_1 f15 1 io_l55p_1 g15 1 io_l54n_1 k16 1 io_l54p_1 l16 1 io_l53_1/no_pair c13 1 io_l50_1/no_pair c14 1 io_l49n_1 e14 1 io_l49p_1 f14 1 io_l48n_1 j15 1 io_l48p_1 k15 1 io_l47n_1 c11 1 io_l47p_1 d11 1 io_l46n_1 d12 1 io_l46p_1 d13 1 io_l45n_1/vref_1 g14 1 io_l45p_1 h14 1 io_l44n_1 d10 1 io_l44p_1 e10 1 io_l43n_1 e13 1 io_l43p_1 f13 1 io_l39n_1 j14 1 io_l39p_1 k14 1 io_l38n_1 c9 1 io_l38p_1 d9 1 io_l37n_1 g13 1 io_l37p_1 h13 1 io_l27n_1/vref_1 j13 1 io_l27p_1 k13 1 io_l26n_1 d8 1 io_l26p_1 e8 1 io_l25n_1 f12 1 io_l25p_1 g12 1 io_l21n_1 g11 1 io_l21p_1 h11 1 io_l20n_1 c7 1 io_l20p_1 d7 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 26 r product not recommen ded for new designs 1 io_l19n_1 e11 1 io_l19p_1 f11 1 io_l09n_1/vref_1 j12 1 io_l09p_1 k12 1 io_l08n_1 d6 1 io_l08p_1 d5 1 io_l07n_1 e9 1 io_l07p_1 f9 1 io_l06n_1 j11 1 io_l06p_1 k11 1 io_l05_1/no_pair j10 1 io_l03n_1/vref_1 g10 1 io_l03p_1 h10 1 io_l02n_1 g9 1 io_l02p_1 h9 1 io_l01n_1/vrp_1 e7 1 io_l01p_1/vrn_1 e6 2 io_l01n_2/vrp_2 d2 2 io_l01p_2/vrn_2 d1 2 io_l02n_2 f8 2 io_l02p_2 f7 2 io_l03n_2 e4 2 io_l03p_2 e3 2 io_l04n_2/vref_2 e2 2 io_l04p_2 e1 2 io_l05n_2 j8 2 io_l05p_2 j7 2 io_l06n_2 f5 2 io_l06p_2 f4 2 io_l15n_2 g4 2 io_l15p_2 g3 2 io_l16n_2/vref_2 g6 2 io_l16p_2 g5 2 io_l17n_2 f2 2 io_l17p_2 f1 2 io_l18n_2 l10 2 io_l18p_2 l9 2 io_l19n_2 h6 2 io_l19p_2 h5 2 io_l20n_2 g2 2 io_l20p_2 g1 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 27 r product not recommen ded for new designs 2 io_l21n_2 j6 2 io_l21p_2 j5 2 io_l22n_2/vref_2 j4 2 io_l22p_2 j3 2 io_l23n_2 k8 2 io_l23p_2 k7 2 io_l24n_2 h4 2 io_l24p_2 h3 2 io_l31n_2 h2 2 io_l31p_2 h1 2 io_l32n_2 m10 2 io_l32p_2 m9 2 io_l33n_2 k5 2 io_l33p_2 k4 2 io_l34n_2/vref_2 j2 2 io_l34p_2 k2 2 io_l35n_2 l8 2 io_l35p_2 l7 2 io_l36n_2 l6 2 io_l36p_2 l5 2 io_l37n_2 k1 2 io_l37p_2 l1 2 io_l38n_2 n10 2 io_l38p_2 n9 2 io_l39n_2 m7 2 io_l39p_2 m6 2 io_l40n_2/vref_2 l2 2 io_l40p_2 m2 2 io_l41n_2 n8 2 io_l41p_2 n7 2 io_l42n_2 l4 2 io_l42p_2 l3 2 io_l43n_2 m4 2 io_l43p_2 m3 2 io_l44n_2 p10 2 io_l44p_2 p9 2 io_l45n_2 n6 2 io_l45p_2 n5 2 io_l46n_2/vref_2 m1 2 io_l46p_2 n1 2 io_l47n_2 p8 2 io_l47p_2 p7 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 28 r product not recommen ded for new designs 2 io_l48n_2 n4 2 io_l48p_2 n3 2 io_l49n_2 n2 2 io_l49p_2 p2 2 io_l50n_2 r10 2 io_l50p_2 r9 2 io_l51n_2 p6 2 io_l51p_2 p5 2 io_l52n_2/vref_2 p4 2 io_l52p_2 p3 2 io_l53n_2 t11 2 io_l53p_2 u11 2 io_l54n_2 r7 2 io_l54p_2 r6 2 io_l55n_2 p1 2 io_l55p_2 r1 2 io_l56n_2 t10 2 io_l56p_2 t9 2 io_l57n_2 r4 2 io_l57p_2 r3 2 io_l58n_2/vref_2 r2 2 io_l58p_2 t2 2 io_l59n_2 t8 2 io_l59p_2 t7 2 io_l60n_2 t6 2 io_l60p_2 t5 2 io_l85n_2 t4 2 io_l85p_2 t3 2 io_l86n_2 u10 2 io_l86p_2 u9 2 io_l87n_2 u6 2 io_l87p_2 u5 2 io_l88n_2/vref_2 u2 2 io_l88p_2 v2 2 io_l89n_2 u8 2 io_l89p_2 u7 2 io_l90n_2 u4 2 io_l90p_2 u3 3 io_l90n_3 v3 3 io_l90p_3 v4 3 io_l89n_3 v7 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 29 r product not recommen ded for new designs 3 io_l89p_3 v8 3 io_l88n_3 v5 3 io_l88p_3 v6 3 io_l87n_3/vref_3 w2 3 io_l87p_3 y2 3 io_l86n_3 v9 3 io_l86p_3 v10 3 io_l85n_3 w3 3 io_l85p_3 w4 3 io_l60n_3 y1 3 io_l60p_3 aa1 3 io_l59n_3 v11 3 io_l59p_3 w11 3 io_l58n_3 w5 3 io_l58p_3 w6 3 io_l57n_3/vref_3 y3 3 io_l57p_3 y4 3 io_l56n_3 w7 3 io_l56p_3 w8 3 io_l55n_3 y6 3 io_l55p_3 y7 3 io_l54n_3 aa2 3 io_l54p_3 ab2 3 io_l53n_3 w9 3 io_l53p_3 w10 3 io_l52n_3 aa3 3 io_l52p_3 aa4 3 io_l51n_3/vref_3 ab1 3 io_l51p_3 ac1 3 io_l50n_3 y9 3 io_l50p_3 y10 3 io_l49n_3 aa5 3 io_l49p_3 aa6 3 io_l48n_3 ab3 3 io_l48p_3 ab4 3 io_l47n_3 aa7 3 io_l47p_3 aa8 3 io_l46n_3 ab5 3 io_l46p_3 ab6 3 io_l45n_3/vref_3 ac2 3 io_l45p_3 ad2 3 io_l44n_3 aa9 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 30 r product not recommen ded for new designs 3 io_l44p_3 aa10 3 io_l43n_3 ac3 3 io_l43p_3 ac4 3 io_l42n_3 ad1 3 io_l42p_3 ae1 3 io_l41n_3 ab7 3 io_l41p_3 ab8 3 io_l40n_3 ac6 3 io_l40p_3 ac7 3 io_l39n_3/vref_3 ad3 3 io_l39p_3 ad4 3 io_l38n_3 ab9 3 io_l38p_3 ab10 3 io_l37n_3 ad5 3 io_l37p_3 ad6 3 io_l36n_3 ae2 3 io_l36p_3 af2 3 io_l35n_3 ad7 3 io_l35p_3 ad8 3 io_l34n_3 ae4 3 io_l34p_3 ae5 3 io_l33n_3/vref_3 ag1 3 io_l33p_3 ag2 3 io_l32n_3 ac9 3 io_l32p_3 ac10 3 io_l31n_3 af3 3 io_l31p_3 af4 3 io_l24n_3 ah1 3 io_l24p_3 ah2 3 io_l23n_3 ae7 3 io_l23p_3 ae8 3 io_l22n_3 af5 3 io_l22p_3 af6 3 io_l21n_3/vref_3 ag3 3 io_l21p_3 ag4 3 io_l20n_3 ad9 3 io_l20p_3 ad10 3 io_l19n_3 ah3 3 io_l19p_3 ah4 3 io_l18n_3 aj1 3 io_l18p_3 aj2 3 io_l17n_3 af7 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 31 r product not recommen ded for new designs 3 io_l17p_3 af8 3 io_l16n_3 ak1 3 io_l16p_3 ak2 3 io_l15n_3/vref_3 ag5 3 io_l15p_3 ag6 3 io_l06n_3 al1 3 io_l06p_3 al2 3 io_l05n_3 ag7 3 io_l05p_3 ah8 3 io_l04n_3 ah5 3 io_l04p_3 ah6 3 io_l03n_3/vref_3 ak3 3 io_l03p_3 ak4 3 io_l02n_3 aj7 3 io_l02p_3 aj8 3 io_l01n_3/vrp_3 aj4 3 io_l01p_3/vrn_3 aj5 4 io_l01n_4/busy/dout (1) al5 4 io_l01p_4/init_b al6 4 io_l02n_4/d0/din (1) ag9 4 io_l02p_4/d1 ah9 4 io_l03n_4/d2 ak6 4 io_l03p_4/d3 ak7 4 io_l05_4/no_pair af10 4 io_l06n_4/vrp_4 al7 4 io_l06p_4/vrn_4 am7 4 io_l07n_4 ae11 4 io_l07p_4/vref_4 af11 4 io_l08n_4 ag10 4 io_l08p_4 ah10 4 io_l09n_4 ak8 4 io_l09p_4/vref_4 al8 4 io_l19n_4 ae12 4 io_l19p_4 af12 4 io_l20n_4 aj9 4 io_l20p_4 ak9 4 io_l21n_4 al9 4 io_l21p_4 am9 4 io_l25n_4 ag11 4 io_l25p_4 ah11 4 io_l26n_4 ah12 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 32 r product not recommen ded for new designs 4 io_l26p_4 aj12 4 io_l27n_4 ak10 4 io_l27p_4/vref_4 al10 4 io_l37n_4 ae13 4 io_l37p_4 af13 4 io_l38n_4 ag13 4 io_l38p_4 ah13 4 io_l39n_4 aj11 4 io_l39p_4 ak11 4 io_l43n_4 ae14 4 io_l43p_4 af14 4 io_l44n_4 aj13 4 io_l44p_4 ak13 4 io_l45n_4 al11 4 io_l45p_4/vref_4 am11 4 io_l46n_4 ae15 4 io_l46p_4 af15 4 io_l47n_4 ag14 4 io_l47p_4 ah14 4 io_l48n_4 al13 4 io_l48p_4 al12 4 io_l49n_4 ad16 4 io_l49p_4 ae16 4 io_l50_4/no_pair aj14 4 io_l53_4/no_pair ak14 4 io_l54n_4 am14 4 io_l54p_4 am13 4 io_l55n_4 af16 4 io_l55p_4 ag16 4 io_l56n_4 ah15 4 io_l56p_4 aj15 4 io_l57n_4 al14 4 io_l57p_4/vref_4 al15 4 io_l67n_4 ad17 4 io_l67p_4 ae17 4 io_l68n_4 ah16 4 io_l68p_4 aj16 4 io_l69n_4 ak16 4 io_l69p_4/vref_4 al16 4 io_l73n_4 af17 4 io_l73p_4 ag17 4 io_l74n_4/gclk3s ah17 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 33 r product not recommen ded for new designs 4 io_l74p_4/gclk2p aj17 4 io_l75n_4/gclk1s ak17 4 io_l75p_4/gclk0p al17 5 io_l75n_5/gclk7s al18 5 io_l75p_5/gclk6p ak18 5 io_l74n_5/gclk5s aj18 5 io_l74p_5/gclk4p ah18 5 io_l73n_5 ag18 5 io_l73p_5 af18 5 io_l69n_5/vref_5 al19 5 io_l69p_5 ak19 5 io_l68n_5 aj19 5 io_l68p_5 ah19 5 io_l67n_5 ae18 5 io_l67p_5 ad18 5 io_l57n_5/vref_5 al20 5 io_l57p_5 al21 5 io_l56n_5 aj20 5 io_l56p_5 ah20 5 io_l55n_5 ag19 5 io_l55p_5 af19 5 io_l54n_5 am22 5 io_l54p_5 am21 5 io_l53_5/no_pair ak21 5 io_l50_5/no_pair aj21 5 io_l49n_5 ae19 5 io_l49p_5 ad19 5 io_l48n_5 al23 5 io_l48p_5 al22 5 io_l47n_5 ah21 5 io_l47p_5 ag21 5 io_l46n_5 af20 5 io_l46p_5 ae20 5 io_l45n_5/vref_5 am24 5 io_l45p_5 al24 5 io_l44n_5 ak22 5 io_l44p_5 aj22 5 io_l43n_5 af21 5 io_l43p_5 ae21 5 io_l39n_5 ak24 5 io_l39p_5 aj24 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 34 r product not recommen ded for new designs 5 io_l38n_5 ah22 5 io_l38p_5 ag22 5 io_l37n_5 af22 5 io_l37p_5 ae22 5 io_l27n_5/vref_5 al25 5 io_l27p_5 ak25 5 io_l26n_5 aj23 5 io_l26p_5 ah23 5 io_l25n_5 ah24 5 io_l25p_5 ag24 5 io_l21n_5 am26 5 io_l21p_5 al26 5 io_l20n_5 ak26 5 io_l20p_5 aj26 5 io_l19n_5 af23 5 io_l19p_5 ae23 5 io_l09n_5/vref_5 al27 5 io_l09p_5 ak27 5 io_l08n_5 ah25 5 io_l08p_5 ag25 5 io_l07n_5/vref_5 af24 5 io_l07p_5 ae24 5 io_l06n_5/vrp_5 am28 5 io_l06p_5/vrn_5 al28 5 io_l05_5/no_pair af25 5 io_l03n_5/d4 ak28 5 io_l03p_5/d5 ak29 5 io_l02n_5/d6 ah26 5 io_l02p_5/d7 ag26 5 io_l01n_5/rdwr_b al29 5 io_l01p_5/cs_b al30 6 io_l01p_6/vrn_6 aj30 6 io_l01n_6/vrp_6 aj31 6 io_l02p_6 aj27 6 io_l02n_6 aj28 6 io_l03p_6 ak31 6 io_l03n_6/vref_6 ak32 6 io_l04p_6 ah29 6 io_l04n_6 ah30 6 io_l05p_6 ah27 6 io_l05n_6 ag28 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 35 r product not recommen ded for new designs 6 io_l06p_6 al33 6 io_l06n_6 al34 6 io_l15p_6 ag29 6 io_l15n_6/vref_6 ag30 6 io_l16p_6 ak33 6 io_l16n_6 ak34 6 io_l17p_6 af27 6 io_l17n_6 af28 6 io_l18p_6 aj33 6 io_l18n_6 aj34 6 io_l19p_6 ah31 6 io_l19n_6 ah32 6 io_l20p_6 ad25 6 io_l20n_6 ad26 6 io_l21p_6 ag31 6 io_l21n_6/vref_6 ag32 6 io_l22p_6 af29 6 io_l22n_6 af30 6 io_l23p_6 ae27 6 io_l23n_6 ae28 6 io_l24p_6 ah33 6 io_l24n_6 ah34 6 io_l31p_6 af31 6 io_l31n_6 af32 6 io_l32p_6 ac25 6 io_l32n_6 ac26 6 io_l33p_6 ag33 6 io_l33n_6/vref_6 ag34 6 io_l34p_6 ae30 6 io_l34n_6 ae31 6 io_l35p_6 ad27 6 io_l35n_6 ad28 6 io_l36p_6 af33 6 io_l36n_6 ae33 6 io_l37p_6 ad29 6 io_l37n_6 ad30 6 io_l38p_6 ab25 6 io_l38n_6 ab26 6 io_l39p_6 ad31 6 io_l39n_6/vref_6 ad32 6 io_l40p_6 ac28 6 io_l40n_6 ac29 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 36 r product not recommen ded for new designs 6 io_l41p_6 ab27 6 io_l41n_6 ab28 6 io_l42p_6 ae34 6 io_l42n_6 ad34 6 io_l43p_6 ac31 6 io_l43n_6 ac32 6 io_l44p_6 aa25 6 io_l44n_6 aa26 6 io_l45p_6 ad33 6 io_l45n_6/vref_6 ac33 6 io_l46p_6 ab29 6 io_l46n_6 ab30 6 io_l47p_6 aa27 6 io_l47n_6 aa28 6 io_l48p_6 ab31 6 io_l48n_6 ab32 6 io_l49p_6 aa29 6 io_l49n_6 aa30 6 io_l50p_6 y25 6 io_l50n_6 y26 6 io_l51p_6 ac34 6 io_l51n_6/vref_6 ab34 6 io_l52p_6 aa31 6 io_l52n_6 aa32 6 io_l53p_6 w25 6 io_l53n_6 w26 6 io_l54p_6 ab33 6 io_l54n_6 aa33 6 io_l55p_6 y28 6 io_l55n_6 y29 6 io_l56p_6 w27 6 io_l56n_6 w28 6 io_l57p_6 y31 6 io_l57n_6/vref_6 y32 6 io_l58p_6 w29 6 io_l58n_6 w30 6 io_l59p_6 w24 6 io_l59n_6 v24 6 io_l60p_6 aa34 6 io_l60n_6 y34 6 io_l85p_6 w31 6 io_l85n_6 w32 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 37 r product not recommen ded for new designs 6 io_l86p_6 v25 6 io_l86n_6 v26 6 io_l87p_6 y33 6 io_l87n_6/vref_6 w33 6 io_l88p_6 v29 6 io_l88n_6 v30 6 io_l89p_6 v27 6 io_l89n_6 v28 6 io_l90p_6 v31 6 io_l90n_6 v32 7 io_l90p_7 u32 7 io_l90n_7 u31 7 io_l89p_7 u28 7 io_l89n_7 u27 7 io_l88p_7 v33 7 io_l88n_7/vref_7 u33 7 io_l87p_7 u30 7 io_l87n_7 u29 7 io_l86p_7 u26 7 io_l86n_7 u25 7 io_l85p_7 t32 7 io_l85n_7 t31 7 io_l60p_7 t30 7 io_l60n_7 t29 7 io_l59p_7 t28 7 io_l59n_7 t27 7 io_l58p_7 t33 7 io_l58n_7/vref_7 r33 7 io_l57p_7 r32 7 io_l57n_7 r31 7 io_l56p_7 t26 7 io_l56n_7 t25 7 io_l55p_7 r34 7 io_l55n_7 p34 7 io_l54p_7 r29 7 io_l54n_7 r28 7 io_l53p_7 u24 7 io_l53n_7 t24 7 io_l52p_7 p32 7 io_l52n_7/vref_7 p31 7 io_l51p_7 p30 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 38 r product not recommen ded for new designs 7 io_l51n_7 p29 7 io_l50p_7 r26 7 io_l50n_7 r25 7 io_l49p_7 p33 7 io_l49n_7 n33 7 io_l48p_7 n32 7 io_l48n_7 n31 7 io_l47p_7 p28 7 io_l47n_7 p27 7 io_l46p_7 n34 7 io_l46n_7/vref_7 m34 7 io_l45p_7 n30 7 io_l45n_7 n29 7 io_l44p_7 p26 7 io_l44n_7 p25 7 io_l43p_7 m32 7 io_l43n_7 m31 7 io_l42p_7 l32 7 io_l42n_7 l31 7 io_l41p_7 n28 7 io_l41n_7 n27 7 io_l40p_7 m33 7 io_l40n_7/vref_7 l33 7 io_l39p_7 m29 7 io_l39n_7 m28 7 io_l38p_7 n26 7 io_l38n_7 n25 7 io_l37p_7 l34 7 io_l37n_7 k34 7 io_l36p_7 l30 7 io_l36n_7 l29 7 io_l35p_7 l28 7 io_l35n_7 l27 7 io_l34p_7 k33 7 io_l34n_7/vref_7 j33 7 io_l33p_7 k31 7 io_l33n_7 k30 7 io_l32p_7 m26 7 io_l32n_7 m25 7 io_l31p_7 h34 7 io_l31n_7 h33 7 io_l24p_7 h32 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 39 r product not recommen ded for new designs 7 io_l24n_7 h31 7 io_l23p_7 k28 7 io_l23n_7 k27 7 io_l22p_7 j32 7 io_l22n_7/vref_7 j31 7 io_l21p_7 j30 7 io_l21n_7 j29 7 io_l20p_7 g34 7 io_l20n_7 g33 7 io_l19p_7 h30 7 io_l19n_7 h29 7 io_l18p_7 l26 7 io_l18n_7 l25 7 io_l17p_7 f34 7 io_l17n_7 f33 7 io_l16p_7 g30 7 io_l16n_7/vref_7 g29 7 io_l15p_7 g32 7 io_l15n_7 g31 7 io_l06p_7 f31 7 io_l06n_7 f30 7 io_l05p_7 j28 7 io_l05n_7 j27 7 io_l04p_7 e34 7 io_l04n_7/vref_7 e33 7 io_l03p_7 e32 7 io_l03n_7 e31 7 io_l02p_7 f28 7 io_l02n_7 f27 7 io_l01p_7/vrn_7 d34 7 io_l01n_7/vrp_7 d33 0 vcco_0 c29 0 vcco_0 e20 0 vcco_0 f25 0 vcco_0 l20 0 vcco_0 l21 0 vcco_0 l22 0 vcco_0 l23 0 vcco_0 m18 0 vcco_0 m19 0 vcco_0 m20 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 40 r product not recommen ded for new designs 0 vcco_0 m21 0 vcco_0 m22 1 vcco_1 c6 1 vcco_1 e15 1 vcco_1 f10 1 vcco_1 l12 1 vcco_1 l13 1 vcco_1 l14 1 vcco_1 l15 1 vcco_1 m13 1 vcco_1 m14 1 vcco_1 m15 1 vcco_1 m16 1 vcco_1 m17 2 vcco_2 f3 2 vcco_2 k6 2 vcco_2 m11 2 vcco_2 n11 2 vcco_2 n12 2 vcco_2 p11 2 vcco_2 p12 2 vcco_2 r5 2 vcco_2 r11 2 vcco_2 r12 2 vcco_2 t12 2 vcco_2 u12 3 vcco_3 v12 3 vcco_3 w12 3 vcco_3 y5 3 vcco_3 y11 3 vcco_3 y12 3 vcco_3 aa11 3 vcco_3 aa12 3 vcco_3 ab11 3 vcco_3 ab12 3 vcco_3 ac11 3 vcco_3 ae6 3 vcco_3 aj3 4 vcco_4 ac13 4 vcco_4 ac14 4 vcco_4 ac15 4 vcco_4 ac16 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 41 r product not recommen ded for new designs 4 vcco_4 ac17 4 vcco_4 ad12 4 vcco_4 ad13 4 vcco_4 ad14 4 vcco_4 ad15 4 vcco_4 aj10 4 vcco_4 ak15 4 vcco_4 am6 5 vcco_5 ac18 5 vcco_5 ac19 5 vcco_5 ac20 5 vcco_5 ac21 5 vcco_5 ac22 5 vcco_5 ad20 5 vcco_5 ad21 5 vcco_5 ad22 5 vcco_5 ad23 5 vcco_5 aj25 5 vcco_5 ak20 5 vcco_5 am29 6 vcco_6 v23 6 vcco_6 w23 6 vcco_6 y23 6 vcco_6 y24 6 vcco_6 y30 6 vcco_6 aa23 6 vcco_6 aa24 6 vcco_6 ab23 6 vcco_6 ab24 6 vcco_6 ac24 6 vcco_6 ae29 6 vcco_6 aj32 7 vcco_7 f32 7 vcco_7 k29 7 vcco_7 m24 7 vcco_7 n23 7 vcco_7 n24 7 vcco_7 p23 7 vcco_7 p24 7 vcco_7 r23 7 vcco_7 r24 7 vcco_7 r30 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 42 r product not recommen ded for new designs 7 vcco_7 t23 7 vcco_7 u23 n/a cclk ae9 n/a prog_b j26 n/a done ae10 n/a m0 af26 n/a m1 ae26 n/a m2 ae25 n/a tck j9 n/a tdi h28 n/a tdo h7 n/a tms k10 n/a pwrdwn_b af9 n/a hswap_en k25 n/a rsvd g8 n/a vbatt k9 n/a dxp k26 n/a dxn g27 n/a avccauxtx2 b32 n/a vttxpad2 b33 n/a txnpad2 a33 n/a txppad2 a32 n/a gnda2 c30 n/a rxppad2 a31 n/a rxnpad2 a30 n/a vtrxpad2 b31 n/a avccauxrx2 b30 n/a avccauxtx4 b28 n/a vttxpad4 b29 n/a txnpad4 a29 n/a txppad4 a28 n/a gnda4 c27 n/a rxppad4 a27 n/a rxnpad4 a26 n/a vtrxpad4 b27 n/a avccauxrx4 b26 n/a avccauxtx5 b24 nc n/a vttxpad5 b25 nc n/a txnpad5 a25 nc n/a txppad5 a24 nc n/a gnda5 c23 nc ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 43 r product not recommen ded for new designs n/a rxppad5 a23 nc n/a rxnpad5 a22 nc n/a vtrxpad5 b23 nc n/a avccauxrx5 b22 nc n/a avccauxtx6 b20 n/a vttxpad6 b21 n/a txnpad6 a21 n/a txppad6 a20 n/a gnda6 c20 n/a rxppad6 a19 n/a rxnpad6 a18 n/a vtrxpad6 b19 n/a avccauxrx6 b18 n/a avccauxtx7 b16 n/a vttxpad7 b17 n/a txnpad7 a17 n/a txppad7 a16 n/a gnda7 c15 n/a rxppad7 a15 n/a rxnpad7 a14 n/a vtrxpad7 b15 n/a avccauxrx7 b14 n/a avccauxtx8 b12 nc n/a vttxpad8 b13 nc n/a txnpad8 a13 nc n/a txppad8 a12 nc n/a gnda8 c12 nc n/a rxppad8 a11 nc n/a rxnpad8 a10 nc n/a vtrxpad8 b11 nc n/a avccauxrx8 b10 nc n/a avccauxtx9 b8 n/a vttxpad9 b9 n/a txnpad9 a9 n/a txppad9 a8 n/a gnda9 c8 n/a rxppad9 a7 n/a rxnpad9 a6 n/a vtrxpad9 b7 n/a avccauxrx9 b6 n/a avccauxtx11 b4 n/a vttxpad11 b5 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 44 r product not recommen ded for new designs n/a txnpad11 a5 n/a txppad11 a4 n/a gnda11 c5 n/a rxppad11 a3 n/a rxnpad11 a2 n/a vtrxpad11 b3 n/a avccauxrx11 b2 n/a avccauxrx14 an2 n/a vtrxpad14 an3 n/a rxnpad14 ap2 n/a rxppad14 ap3 n/a gnda14 am5 n/a txppad14 ap4 n/a txnpad14 ap5 n/a vttxpad14 an5 n/a avccauxtx14 an4 n/a avccauxrx16 an6 n/a vtrxpad16 an7 n/a rxnpad16 ap6 n/a rxppad16 ap7 n/a gnda16 am8 n/a txppad16 ap8 n/a txnpad16 ap9 n/a vttxpad16 an9 n/a avccauxtx16 an8 n/a avccauxrx17 an10 nc n/a vtrxpad17 an11 nc n/a rxnpad17 ap10 nc n/a rxppad17 ap11 nc n/a gnda17 am12 nc n/a txppad17 ap12 nc n/a txnpad17 ap13 nc n/a vttxpad17 an13 nc n/a avccauxtx17 an12 nc n/a avccauxrx18 an14 n/a vtrxpad18 an15 n/a rxnpad18 ap14 n/a rxppad18 ap15 n/a gnda18 am15 n/a txppad18 ap16 n/a txnpad18 ap17 n/a vttxpad18 an17 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 45 r product not recommen ded for new designs n/a avccauxtx18 an16 n/a avccauxrx19 an18 n/a vtrxpad19 an19 n/a rxnpad19 ap18 n/a rxppad19 ap19 n/a gnda19 am20 n/a txppad19 ap20 n/a txnpad19 ap21 n/a vttxpad19 an21 n/a avccauxtx19 an20 n/a avccauxrx20 an22 nc n/a vtrxpad20 an23 nc n/a rxnpad20 ap22 nc n/a rxppad20 ap23 nc n/a gnda20 am23 nc n/a txppad20 ap24 nc n/a txnpad20 ap25 nc n/a vttxpad20 an25 nc n/a avccauxtx20 an24 nc n/a avccauxrx21 an26 n/a vtrxpad21 an27 n/a rxnpad21 ap26 n/a rxppad21 ap27 n/a gnda21 am27 n/a txppad21 ap28 n/a txnpad21 ap29 n/a vttxpad21 an29 n/a avccauxtx21 an28 n/a avccauxrx23 an30 n/a vtrxpad23 an31 n/a rxnpad23 ap30 n/a rxppad23 ap31 n/a gnda23 am30 n/a txppad23 ap32 n/a txnpad23 ap33 n/a vttxpad23 an33 n/a avccauxtx23 an32 n/a vccint l11 n/a vccint l24 n/a vccint m12 n/a vccint m23 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 46 r product not recommen ded for new designs n/a vccint n13 n/a vccint n14 n/a vccint n15 n/a vccint n16 n/a vccint n17 n/a vccint n18 n/a vccint n19 n/a vccint n20 n/a vccint n21 n/a vccint n22 n/a vccint p13 n/a vccint p22 n/a vccint r13 n/a vccint r22 n/a vccint t13 n/a vccint t22 n/a vccint u13 n/a vccint u22 n/a vccint v13 n/a vccint v22 n/a vccint w13 n/a vccint w22 n/a vccint y13 n/a vccint y22 n/a vccint aa13 n/a vccint aa22 n/a vccint ab13 n/a vccint ab14 n/a vccint ab15 n/a vccint ab16 n/a vccint ab17 n/a vccint ab18 n/a vccint ab19 n/a vccint ab20 n/a vccint ab21 n/a vccint ab22 n/a vccint ac12 n/a vccint ac23 n/a vccint ad11 n/a vccint ad24 n/a vccaux c3 n/a vccaux c4 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 47 r product not recommen ded for new designs n/a vccaux c17 n/a vccaux c18 n/a vccaux c31 n/a vccaux c32 n/a vccaux d3 n/a vccaux d32 n/a vccaux u1 n/a vccaux v1 n/a vccaux u34 n/a vccaux v34 n/a vccaux al3 n/a vccaux al32 n/a vccaux am3 n/a vccaux am4 n/a vccaux am17 n/a vccaux am18 n/a vccaux am31 n/a vccaux am32 n/a gnd af34 n/a gnd b34 n/a gnd c1 n/a gnd c2 n/a gnd c10 n/a gnd c16 n/a gnd c19 n/a gnd c25 n/a gnd c33 n/a gnd c34 n/a gnd d4 n/a gnd d31 n/a gnd e5 n/a gnd e12 n/a gnd e23 n/a gnd e30 n/a gnd f6 n/a gnd f29 n/a gnd g7 n/a gnd g28 n/a gnd b1 n/a gnd h8 n/a gnd h12 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 48 r product not recommen ded for new designs n/a gnd h15 n/a gnd h20 n/a gnd j1 n/a gnd h27 n/a gnd af1 n/a gnd k3 n/a gnd k32 n/a gnd m5 n/a gnd m8 n/a gnd m27 n/a gnd m30 n/a gnd p14 n/a gnd p15 n/a gnd p16 n/a gnd p17 n/a gnd p18 n/a gnd p19 n/a gnd p20 n/a gnd p21 n/a gnd r8 n/a gnd r14 n/a gnd r15 n/a gnd r16 n/a gnd r17 n/a gnd r18 n/a gnd r19 n/a gnd r20 n/a gnd r21 n/a gnd r27 n/a gnd t1 n/a gnd t14 n/a gnd t15 n/a gnd t16 n/a gnd t17 n/a gnd t18 n/a gnd t19 n/a gnd t20 n/a gnd t21 n/a gnd t34 n/a gnd u14 n/a gnd u15 n/a gnd u16 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 49 r product not recommen ded for new designs n/a gnd u17 n/a gnd u18 n/a gnd u19 n/a gnd u20 n/a gnd u21 n/a gnd v14 n/a gnd v15 n/a gnd v16 n/a gnd v17 n/a gnd v18 n/a gnd v19 n/a gnd v20 n/a gnd v21 n/a gnd w1 n/a gnd w14 n/a gnd w15 n/a gnd w16 n/a gnd w17 n/a gnd w18 n/a gnd w19 n/a gnd w20 n/a gnd w21 n/a gnd w34 n/a gnd y8 n/a gnd y14 n/a gnd y15 n/a gnd y16 n/a gnd y17 n/a gnd y18 n/a gnd y19 n/a gnd y20 n/a gnd y21 n/a gnd y27 n/a gnd aa14 n/a gnd aa15 n/a gnd aa16 n/a gnd aa17 n/a gnd aa18 n/a gnd aa19 n/a gnd aa20 n/a gnd aa21 n/a gnd ac5 ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 50 r product not recommen ded for new designs n/a gnd ac8 n/a gnd ac27 n/a gnd ac30 n/a gnd ae3 n/a gnd ae32 n/a gnd h23 n/a gnd ag8 n/a gnd ag12 n/a gnd ag15 n/a gnd ag20 n/a gnd ag23 n/a gnd ag27 n/a gnd j34 n/a gnd ah7 n/a gnd ah28 n/a gnd aj6 n/a gnd aj29 n/a gnd ak5 n/a gnd ak12 n/a gnd ak23 n/a gnd ak30 n/a gnd al4 n/a gnd al31 n/a gnd am1 n/a gnd am2 n/a gnd am10 n/a gnd am16 n/a gnd am19 n/a gnd am25 n/a gnd am33 n/a gnd am34 n/a gnd an1 n/a gnd an34 notes: 1. see table 4, page 2 for an explanation of the signals available on this pin. ta bl e 7 : ef1152 and ff1152 ? xq2vp40 (cont?d) bank pin description pi n number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 51 r product not recommen ded for new designs ef1152, and ff1152 flip-chip fine-pitch bg a package specificat ions (1.00mm pitch) x-ref target - figure 2 figure 2: ef1152, and ff1152 flip-chip fine-pitch bga package specifications
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 52 r product not recommen ded for new designs ef1704, and ff1704 flip-chi p fine-pitch bga packages qpro virtex-ii pro XQ2VP70 devices are available in the ef 1704, and ff1704, flip-chip fine-pitch bga package. following the pin listing in ta b l e 8 are the "ef1704, and ff1704 flip-chip fine-pitch bga pa ckage specifications (1.00 mm pitch)," page 93 . ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 bank pin description pin number no connects 0 io_l01n_0/vrp_0 g34 0 io_l01p_0/vrn_0 h34 0 io_l02n_0 f34 0 io_l02p_0 e34 0 io_l03n_0 c34 0 io_l03p_0/vref_0 d34 0 io_l05_0/no_pair k32 0 io_l06n_0 h33 0 io_l06p_0 j33 0 io_l07n_0 f33 0 io_l07p_0 g33 0 io_l08n_0 e33 0 io_l08p_0 d33 0 io_l09n_0 h32 0 io_l09p_0/vref_0 j32 0 io_l19n_0 e32 0 io_l19p_0 f32 0 io_l20n_0 c33 0 io_l20p_0 c32 0 io_l21n_0 k31 0 io_l21p_0 l31 0 io_l25n_0 h31 0 io_l25p_0 j31 0 io_l26n_0 g31 0 io_l26p_0 f31 0 io_l27n_0 d31 0 io_l27p_0/vref_0 e31 0 io_l28n_0 l30 0 io_l28p_0 m30 0 io_l29n_0 j30 0 io_l29p_0 k30 0 io_l30n_0 g30 0 io_l30p_0 h30 0 io_l34n_0 e30 0 io_l34p_0 f30 0 io_l35n_0 d30 0 io_l35p_0 c30 0 io_l36n_0 m28
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 53 r product not recommen ded for new designs 0 io_l36p_0/vref_0 m29 0 io_l78n_0 k29 nc 0 io_l78p_0 l29 nc 0 io_l83_0/no_pair h29 nc 0 io_l84n_0 f29 nc 0 io_l84p_0 g29 nc 0 io_l85n_0 d29 nc 0 io_l85p_0 e29 nc 0 io_l86n_0 l28 nc 0 io_l86p_0 k28 nc 0 io_l87n_0 h28 nc 0 io_l87p_0/vref_0 j28 nc 0 io_l37n_0 e28 0 io_l37p_0 f28 0 io_l38n_0 c29 0 io_l38p_0 c28 0 io_l39n_0 l27 0 io_l39p_0 m27 0 io_l43n_0 j27 0 io_l43p_0 k27 0 io_l44n_0 h27 0 io_l44p_0 g27 0 io_l45n_0 e27 0 io_l45p_0/vref_0 f27 0 io_l46n_0 m25 0 io_l46p_0 m26 0 io_l47n_0 l26 0 io_l47p_0 k26 0 io_l48n_0 h26 0 io_l48p_0 j26 0 io_l49n_0 f26 0 io_l49p_0 g26 0 io_l50_0/no_pair d27 0 io_l53_0/no_pair d26 0 io_l54n_0 k25 0 io_l54p_0 l25 0 io_l55n_0 g25 0 io_l55p_0 h25 0 io_l56n_0 e26 0 io_l56p_0 e25 0 io_l57n_0 c25 0 io_l57p_0/vref_0 c26 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 54 r product not recommen ded for new designs 0 io_l58n_0 l24 0 io_l58p_0 m24 0 io_l59n_0 j24 0 io_l59p_0 k24 0 io_l60n_0 g24 0 io_l60p_0 h24 0 io_l64n_0 e24 0 io_l64p_0 f24 0 io_l65n_0 d24 0 io_l65p_0 c24 0 io_l66n_0 m22 0 io_l66p_0/vref_0 m23 0 io_l67n_0 k23 0 io_l67p_0 l23 0 io_l68n_0 j23 0 io_l68p_0 h23 0 io_l69n_0 e23 0 io_l69p_0/vref_0 f23 0 io_l73n_0 c23 0 io_l73p_0 d23 0 io_l74n_0/gclk7p k22 0 io_l74p_0/gclk6s j22 0 io_l75n_0/gclk5p f22 0 io_l75p_0/gclk4s g22 1 io_l75n_1/gclk3p g21 1 io_l75p_1/gclk2s f21 1 io_l74n_1/gclk1p j21 1 io_l74p_1/gclk0s k21 1 io_l73n_1 d20 1 io_l73p_1 c20 1 io_l69n_1/vref_1 f20 1 io_l69p_1 e20 1 io_l68n_1 h20 1 io_l68p_1 j20 1 io_l67n_1 l20 1 io_l67p_1 k20 1 io_l66n_1/vref_1 m20 1 io_l66p_1 m21 1 io_l65n_1 c19 1 io_l65p_1 d19 1 io_l64n_1 f19 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 55 r product not recommen ded for new designs 1 io_l64p_1 e19 1 io_l60n_1 h19 1 io_l60p_1 g19 1 io_l59n_1 k19 1 io_l59p_1 j19 1 io_l58n_1 m19 1 io_l58p_1 l19 1 io_l57n_1/vref_1 c17 1 io_l57p_1 c18 1 io_l56n_1 e18 1 io_l56p_1 e17 1 io_l55n_1 h18 1 io_l55p_1 g18 1 io_l54n_1 l18 1 io_l54p_1 k18 1 io_l53_1/no_pair d17 1 io_l50_1/no_pair d16 1 io_l49n_1 g17 1 io_l49p_1 f17 1 io_l48n_1 j17 1 io_l48p_1 h17 1 io_l47n_1 k17 1 io_l47p_1 l17 1 io_l46n_1 m17 1 io_l46p_1 m18 1 io_l45n_1/vref_1 f16 1 io_l45p_1 e16 1 io_l44n_1 g16 1 io_l44p_1 h16 1 io_l43n_1 k16 1 io_l43p_1 j16 1 io_l39n_1 m16 1 io_l39p_1 l16 1 io_l38n_1 c15 1 io_l38p_1 c14 1 io_l37n_1 f15 1 io_l37p_1 e15 1 io_l87n_1/vref_1 j15 nc 1 io_l87p_1 h15 nc 1 io_l86n_1 k15 nc 1 io_l86p_1 l15 nc 1 io_l85n_1 e14 nc ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 56 r product not recommen ded for new designs 1 io_l85p_1 d14 nc 1 io_l84n_1 g14 nc 1 io_l84p_1 f14 nc 1 io_l83_1/no_pair h14 nc 1 io_l78n_1 l14 nc 1 io_l78p_1 k14 nc 1 io_l36n_1/vref_1 m14 1 io_l36p_1 m15 1 io_l35n_1 c13 1 io_l35p_1 d13 1 io_l34n_1 f13 1 io_l34p_1 e13 1 io_l30n_1 h13 1 io_l30p_1 g13 1 io_l29n_1 k13 1 io_l29p_1 j13 1 io_l28n_1 m13 1 io_l28p_1 l13 1 io_l27n_1/vref_1 e12 1 io_l27p_1 d12 1 io_l26n_1 f12 1 io_l26p_1 g12 1 io_l25n_1 j12 1 io_l25p_1 h12 1 io_l21n_1 l12 1 io_l21p_1 k12 1 io_l20n_1 c11 1 io_l20p_1 c10 1 io_l19n_1 f11 1 io_l19p_1 e11 1 io_l09n_1/vref_1 j11 1 io_l09p_1 h11 1 io_l08n_1 d10 1 io_l08p_1 e10 1 io_l07n_1 g10 1 io_l07p_1 f10 1 io_l06n_1 j10 1 io_l06p_1 h10 1 io_l05_1/no_pair k11 1 io_l03n_1/vref_1 d9 1 io_l03p_1 c9 1 io_l02n_1 e9 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 57 r product not recommen ded for new designs 1 io_l02p_1 f9 1 io_l01n_1/vrp_1 h9 1 io_l01p_1/vrn_1 g9 2 io_l01n_2/vrp_2 c5 2 io_l01p_2/vrn_2 c6 2 io_l02n_2 e7 2 io_l02p_2 d7 2 io_l03n_2 e6 2 io_l03p_2 d6 2 io_l04n_2/vref_2 g6 2 io_l04p_2 f7 2 io_l05n_2 d3 2 io_l05p_2 e3 2 io_l06n_2 d1 2 io_l06p_2 d2 2 io_l73n_2 e1 2 io_l73p_2 e2 2 io_l74n_2 f4 2 io_l74p_2 f3 2 io_l75n_2 f1 2 io_l75p_2 f2 2 io_l76n_2/vref_2 g3 2 io_l76p_2 g4 2 io_l77n_2 g2 2 io_l77p_2 g1 2 io_l78n_2 g5 2 io_l78p_2 h6 2 io_l79n_2 h4 2 io_l79p_2 h5 2 io_l80n_2 h3 2 io_l80p_2 h2 2 io_l81n_2 h7 2 io_l81p_2 j8 2 io_l82n_2/vref_2 j6 2 io_l82p_2 j7 2 io_l83n_2 j5 2 io_l83p_2 j4 2 io_l84n_2 j1 2 io_l84p_2 j2 2 io_l07n_2 k9 2 io_l07p_2 l10 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 58 r product not recommen ded for new designs 2 io_l08n_2 k6 2 io_l08p_2 k5 2 io_l09n_2 k8 2 io_l09p_2 k7 2 io_l10n_2/vref_2 k2 2 io_l10p_2 k1 2 io_l11n_2 l8 2 io_l11p_2 l9 2 io_l12n_2 l6 2 io_l12p_2 l7 2 io_l13n_2 k3 2 io_l13p_2 l3 2 io_l14n_2 l5 2 io_l14p_2 l4 2 io_l15n_2 l1 2 io_l15p_2 l2 2 io_l16n_2/vref_2 m7 2 io_l16p_2 m8 2 io_l17n_2 m11 2 io_l17p_2 m12 2 io_l18n_2 m9 2 io_l18p_2 m10 2 io_l19n_2 m2 2 io_l19p_2 m3 2 io_l20n_2 m4 2 io_l20p_2 m5 2 io_l21n_2 n7 2 io_l21p_2 n8 2 io_l22n_2/vref_2 n5 2 io_l22p_2 n6 2 io_l23n_2 n9 2 io_l23p_2 n10 2 io_l24n_2 n3 2 io_l24p_2 n4 2 io_l25n_2 n1 2 io_l25p_2 n2 2 io_l26n_2 n11 2 io_l26p_2 n12 2 io_l27n_2 p9 2 io_l27p_2 p10 2 io_l28n_2/vref_2 p7 2 io_l28p_2 p8 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 59 r product not recommen ded for new designs 2 io_l29n_2 p11 2 io_l29p_2 p12 2 io_l30n_2 p5 2 io_l30p_2 p6 2 io_l31n_2 p1 2 io_l31p_2 p2 2 io_l32n_2 r9 2 io_l32p_2 r10 2 io_l33n_2 r5 2 io_l33p_2 r6 2 io_l34n_2/vref_2 p3 2 io_l34p_2 r3 2 io_l35n_2 r1 2 io_l35p_2 r2 2 io_l36n_2 r11 2 io_l36p_2 r12 2 io_l37n_2 t6 2 io_l37p_2 t7 2 io_l38n_2 t8 2 io_l38p_2 r8 2 io_l39n_2 t4 2 io_l39p_2 t5 2 io_l40n_2/vref_2 t2 2 io_l40p_2 t3 2 io_l41n_2 t10 2 io_l41p_2 t11 2 io_l42n_2 u7 2 io_l42p_2 u8 2 io_l43n_2 u5 2 io_l43p_2 u6 2 io_l44n_2 u9 2 io_l44p_2 u10 2 io_l45n_2 u3 2 io_l45p_2 u4 2 io_l46n_2/vref_2 u1 2 io_l46p_2 u2 2 io_l47n_2 t12 2 io_l47p_2 u12 2 io_l48n_2 v10 2 io_l48p_2 v11 2 io_l49n_2 v7 2 io_l49p_2 v8 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 60 r product not recommen ded for new designs 2 io_l50n_2 u11 2 io_l50p_2 v12 2 io_l51n_2 v4 2 io_l51p_2 v5 2 io_l52n_2/vref_2 v1 2 io_l52p_2 v2 2 io_l53n_2 w9 2 io_l53p_2 w10 2 io_l54n_2 w7 2 io_l54p_2 w8 2 io_l55n_2 w5 2 io_l55p_2 w6 2 io_l56n_2 w11 2 io_l56p_2 w12 2 io_l57n_2 w3 2 io_l57p_2 w4 2 io_l58n_2/vref_2 w1 2 io_l58p_2 w2 2 io_l59n_2 y9 2 io_l59p_2 y10 2 io_l60n_2 y6 2 io_l60p_2 y7 2 io_l85n_2 y3 2 io_l85p_2 y4 2 io_l86n_2 y11 2 io_l86p_2 y12 2 io_l87n_2 aa9 2 io_l87p_2 aa10 2 io_l88n_2/vref_2 aa6 2 io_l88p_2 aa7 2 io_l89n_2 aa12 2 io_l89p_2 ab12 2 io_l90n_2 aa3 2 io_l90p_2 aa4 3 io_l90n_3 ab3 3 io_l90p_3 ab4 3 io_l89n_3 ab6 3 io_l89p_3 ab7 3 io_l88n_3 ab9 3 io_l88p_3 ab10 3 io_l87n_3/vref_3 ac3 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 61 r product not recommen ded for new designs 3 io_l87p_3 ac4 3 io_l86n_3 ac11 3 io_l86p_3 ac12 3 io_l85n_3 ac6 3 io_l85p_3 ac7 3 io_l60n_3 ac9 3 io_l60p_3 ac10 3 io_l59n_3 ad9 3 io_l59p_3 ad10 3 io_l58n_3 ad1 3 io_l58p_3 ad2 3 io_l57n_3/vref_3 ad3 3 io_l57p_3 ad4 3 io_l56n_3 ad11 3 io_l56p_3 ad12 3 io_l55n_3 ad5 3 io_l55p_3 ad6 3 io_l54n_3 ad7 3 io_l54p_3 ad8 3 io_l53n_3 ae10 3 io_l53p_3 ae11 3 io_l52n_3 ae1 3 io_l52p_3 ae2 3 io_l51n_3/vref_3 ae4 3 io_l51p_3 ae5 3 io_l50n_3 af11 3 io_l50p_3 ae12 3 io_l49n_3 ae7 3 io_l49p_3 ae8 3 io_l48n_3 af1 3 io_l48p_3 af2 3 io_l47n_3 ag12 3 io_l47p_3 af12 3 io_l46n_3 af3 3 io_l46p_3 af4 3 io_l45n_3/vref_3 af5 3 io_l45p_3 af6 3 io_l44n_3 af7 3 io_l44p_3 af8 3 io_l43n_3 af9 3 io_l43p_3 af10 3 io_l42n_3 ag2 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 62 r product not recommen ded for new designs 3 io_l42p_3 ag3 3 io_l41n_3 ag10 3 io_l41p_3 ag11 3 io_l40n_3 ag4 3 io_l40p_3 ag5 3 io_l39n_3/vref_3 ag6 3 io_l39p_3 ag7 3 io_l38n_3 ag8 3 io_l38p_3 ah8 3 io_l37n_3 ah1 3 io_l37p_3 ah2 3 io_l36n_3 ah3 3 io_l36p_3 aj3 3 io_l35n_3 ah11 3 io_l35p_3 ah12 3 io_l34n_3 ah5 3 io_l34p_3 ah6 3 io_l33n_3/vref_3 ah9 3 io_l33p_3 ah10 3 io_l32n_3 aj11 3 io_l32p_3 aj12 3 io_l31n_3 aj1 3 io_l31p_3 aj2 3 io_l30n_3 aj5 3 io_l30p_3 aj6 3 io_l29n_3 aj9 3 io_l29p_3 aj10 3 io_l28n_3 aj7 3 io_l28p_3 aj8 3 io_l27n_3/vref_3 ak1 3 io_l27p_3 ak2 3 io_l26n_3 ak11 3 io_l26p_3 ak12 3 io_l25n_3 ak3 3 io_l25p_3 ak4 3 io_l24n_3 ak5 3 io_l24p_3 ak6 3 io_l23n_3 ak9 3 io_l23p_3 ak10 3 io_l22n_3 ak7 3 io_l22p_3 ak8 3 io_l21n_3/vref_3 al2 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 63 r product not recommen ded for new designs 3 io_l21p_3 al3 3 io_l20n_3 al11 3 io_l20p_3 al12 3 io_l19n_3 al4 3 io_l19p_3 al5 3 io_l18n_3 al7 3 io_l18p_3 al8 3 io_l17n_3 al9 3 io_l17p_3 al10 3 io_l16n_3 am1 3 io_l16p_3 am2 3 io_l15n_3/vref_3 am3 3 io_l15p_3 an3 3 io_l14n_3 am8 3 io_l14p_3 am9 3 io_l13n_3 am4 3 io_l13p_3 am5 3 io_l12n_3 am6 3 io_l12p_3 am7 3 io_l11n_3 an9 3 io_l11p_3 am10 3 io_l10n_3 an1 3 io_l10p_3 an2 3 io_l09n_3/vref_3 an5 3 io_l09p_3 an6 3 io_l08n_3 an7 3 io_l08p_3 an8 3 io_l07n_3 ap1 3 io_l07p_3 ap2 3 io_l84n_3 ap4 3 io_l84p_3 ap5 3 io_l83n_3 ar7 3 io_l83p_3 ap8 3 io_l82n_3 ap6 3 io_l82p_3 ap7 3 io_l81n_3/vref_3 ar2 3 io_l81p_3 ar3 3 io_l80n_3 at5 3 io_l80p_3 ar6 3 io_l79n_3 ar4 3 io_l79p_3 ar5 3 io_l78n_3 at1 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 64 r product not recommen ded for new designs 3 io_l78p_3 at2 3 io_l77n_3 at3 3 io_l77p_3 at4 3 io_l76n_3 au1 3 io_l76p_3 au2 3 io_l75n_3/vref_3 au3 3 io_l75p_3 au4 3 io_l74n_3 av3 3 io_l74p_3 aw3 3 io_l73n_3 av1 3 io_l73p_3 av2 3 io_l06n_3 aw1 3 io_l06p_3 aw2 3 io_l05n_3 at8 3 io_l05p_3 au8 3 io_l04n_3 at6 3 io_l04p_3 au7 3 io_l03n_3/vref_3 ay5 3 io_l03p_3 ay6 3 io_l02n_3 av7 3 io_l02p_3 aw7 3 io_l01n_3/vrp_3 av6 3 io_l01p_3/vrn_3 aw6 4 io_l01n_4/busy/dout (1) at 9 4 io_l01p_4/init_b ar9 4 io_l02n_4/d0/din (1) au9 4 io_l02p_4/d1 av9 4 io_l03n_4/d2 ay9 4 io_l03p_4/d3 aw9 4 io_l05_4/no_pair an11 4 io_l06n_4/vrp_4 ar10 4 io_l06p_4/vrn_4 ap10 4 io_l07n_4 au10 4 io_l07p_4/vref_4 at10 4 io_l08n_4 av10 4 io_l08p_4 aw10 4 io_l09n_4 ar11 4 io_l09p_4/vref_4 ap11 4 io_l19n_4 av11 4 io_l19p_4 au11 4 io_l20n_4 ay10 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 65 r product not recommen ded for new designs 4 io_l20p_4 ay11 4 io_l21n_4 an12 4 io_l21p_4 am12 4 io_l25n_4 ar12 4 io_l25p_4 ap12 4 io_l26n_4 at12 4 io_l26p_4 au12 4 io_l27n_4 aw12 4 io_l27p_4/vref_4 av12 4 io_l28n_4 am13 4 io_l28p_4 al13 4 io_l29n_4 ap13 4 io_l29p_4 an13 4 io_l30n_4 at13 4 io_l30p_4 ar13 4 io_l34n_4 av13 4 io_l34p_4 au13 4 io_l35n_4 aw13 4 io_l35p_4 ay13 4 io_l36n_4 al15 4 io_l36p_4/vref_4 al14 4 io_l78n_4 an14 nc 4 io_l78p_4 am14 nc 4 io_l83_4/no_pair ar14 nc 4 io_l84n_4 au14 nc 4 io_l84p_4 at14 nc 4 io_l85n_4 aw14 nc 4 io_l85p_4 av14 nc 4 io_l86n_4 am15 nc 4 io_l86p_4 an15 nc 4 io_l87n_4 ar15 nc 4 io_l87p_4/vref_4 ap15 nc 4 io_l37n_4 av15 4 io_l37p_4 au15 4 io_l38n_4 ay14 4 io_l38p_4 ay15 4 io_l39n_4 am16 4 io_l39p_4 al16 4 io_l43n_4 ap16 4 io_l43p_4 an16 4 io_l44n_4 ar16 4 io_l44p_4 at16 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 66 r product not recommen ded for new designs 4 io_l45n_4 av16 4 io_l45p_4/vref_4 au16 4 io_l46n_4 al18 4 io_l46p_4 al17 4 io_l47n_4 am17 4 io_l47p_4 an17 4 io_l48n_4 ar17 4 io_l48p_4 ap17 4 io_l49n_4 au17 4 io_l49p_4 at17 4 io_l50_4/no_pair aw16 4 io_l53_4/no_pair aw17 4 io_l54n_4 an18 4 io_l54p_4 am18 4 io_l55n_4 at18 4 io_l55p_4 ar18 4 io_l56n_4 av17 4 io_l56p_4 av18 4 io_l57n_4 ay18 4 io_l57p_4/vref_4 ay17 4 io_l58n_4 am19 4 io_l58p_4 al19 4 io_l59n_4 ap19 4 io_l59p_4 an19 4 io_l60n_4 at19 4 io_l60p_4 ar19 4 io_l64n_4 av19 4 io_l64p_4 au19 4 io_l65n_4 aw19 4 io_l65p_4 ay19 4 io_l66n_4 al21 4 io_l66p_4/vref_4 al20 4 io_l67n_4 an20 4 io_l67p_4 am20 4 io_l68n_4 ap20 4 io_l68p_4 ar20 4 io_l69n_4 av20 4 io_l69p_4/vref_4 au20 4 io_l73n_4 ay20 4 io_l73p_4 aw20 4 io_l74n_4/gclk3s an21 4 io_l74p_4/gclk2p ap21 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 67 r product not recommen ded for new designs 4 io_l75n_4/gclk1s au21 4 io_l75p_4/gclk0p at21 5 io_l75n_5/gclk7s at22 5 io_l75p_5/gclk6p au22 5 io_l74n_5/gclk5s ap22 5 io_l74p_5/gclk4p an22 5 io_l73n_5 aw23 5 io_l73p_5 ay23 5 io_l69n_5/vref_5 au23 5 io_l69p_5 av23 5 io_l68n_5 ar23 5 io_l68p_5 ap23 5 io_l67n_5 am23 5 io_l67p_5 an23 5 io_l66n_5/vref_5 al23 5 io_l66p_5 al22 5 io_l65n_5 ay24 5 io_l65p_5 aw24 5 io_l64n_5 au24 5 io_l64p_5 av24 5 io_l60n_5 ar24 5 io_l60p_5 at24 5 io_l59n_5 an24 5 io_l59p_5 ap24 5 io_l58n_5 al24 5 io_l58p_5 am24 5 io_l57n_5/vref_5 ay26 5 io_l57p_5 ay25 5 io_l56n_5 av25 5 io_l56p_5 av26 5 io_l55n_5 ar25 5 io_l55p_5 at25 5 io_l54n_5 am25 5 io_l54p_5 an25 5 io_l53_5/no_pair aw26 5 io_l50_5/no_pair aw27 5 io_l49n_5 at26 5 io_l49p_5 au26 5 io_l48n_5 ap26 5 io_l48p_5 ar26 5 io_l47n_5 an26 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 68 r product not recommen ded for new designs 5 io_l47p_5 am26 5 io_l46n_5 al26 5 io_l46p_5 al25 5 io_l45n_5/vref_5 au27 5 io_l45p_5 av27 5 io_l44n_5 at27 5 io_l44p_5 ar27 5 io_l43n_5 an27 5 io_l43p_5 ap27 5 io_l39n_5 al27 5 io_l39p_5 am27 5 io_l38n_5 ay28 5 io_l38p_5 ay29 5 io_l37n_5 au28 5 io_l37p_5 av28 5 io_l87n_5/vref_5 ap28 nc 5 io_l87p_5 ar28 nc 5 io_l86n_5 an28 nc 5 io_l86p_5 am28 nc 5 io_l85n_5 av29 nc 5 io_l85p_5 aw29 nc 5 io_l84n_5 at29 nc 5 io_l84p_5 au29 nc 5 io_l83_5/no_pair ar29 nc 5 io_l78n_5 am29 nc 5 io_l78p_5 an29 nc 5 io_l36n_5/vref_5 al29 5 io_l36p_5 al28 5 io_l35n_5 ay30 5 io_l35p_5 aw30 5 io_l34n_5 au30 5 io_l34p_5 av30 5 io_l30n_5 ar30 5 io_l30p_5 at30 5 io_l29n_5 an30 5 io_l29p_5 ap30 5 io_l28n_5 al30 5 io_l28p_5 am30 5 io_l27n_5/vref_5 av31 5 io_l27p_5 aw31 5 io_l26n_5 au31 5 io_l26p_5 at31 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 69 r product not recommen ded for new designs 5 io_l25n_5 ap31 5 io_l25p_5 ar31 5 io_l21n_5 am31 5 io_l21p_5 an31 5 io_l20n_5 ay32 5 io_l20p_5 ay33 5 io_l19n_5 au32 5 io_l19p_5 av32 5 io_l09n_5/vref_5 ap32 5 io_l09p_5 ar32 5 io_l08n_5 aw33 5 io_l08p_5 av33 5 io_l07n_5/vref_5 at33 5 io_l07p_5 au33 5 io_l06n_5/vrp_5 ap33 5 io_l06p_5/vrn_5 ar33 5 io_l05_5/no_pair an32 5 io_l03n_5/d4 aw34 5 io_l03p_5/d5 ay34 5 io_l02n_5/d6 av34 5 io_l02p_5/d7 au34 5 io_l01n_5/rdwr_b ar34 5 io_l01p_5/cs_b at34 6 io_l01p_6/vrn_6 aw37 6 io_l01n_6/vrp_6 av37 6 io_l02p_6 aw36 6 io_l02n_6 av36 6 io_l03p_6 ay37 6 io_l03n_6/vref_6 ay38 6 io_l04p_6 au36 6 io_l04n_6 at37 6 io_l05p_6 au35 6 io_l05n_6 at35 6 io_l06p_6 aw41 6 io_l06n_6 aw42 6 io_l73p_6 av41 6 io_l73n_6 av42 6 io_l74p_6 aw40 6 io_l74n_6 av40 6 io_l75p_6 au39 6 io_l75n_6/vref_6 au40 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 70 r product not recommen ded for new designs 6 io_l76p_6 au41 6 io_l76n_6 au42 6 io_l77p_6 at39 6 io_l77n_6 at40 6 io_l78p_6 at41 6 io_l78n_6 at42 6 io_l79p_6 ar38 6 io_l79n_6 ar39 6 io_l80p_6 ar37 6 io_l80n_6 at38 6 io_l81p_6 ar40 6 io_l81n_6/vref_6 ar41 6 io_l82p_6 ap36 6 io_l82n_6 ap37 6 io_l83p_6 ap35 6 io_l83n_6 ar36 6 io_l84p_6 ap38 6 io_l84n_6 ap39 6 io_l07p_6 ap41 6 io_l07n_6 ap42 6 io_l08p_6 an35 6 io_l08n_6 an36 6 io_l09p_6 an37 6 io_l09n_6/vref_6 an38 6 io_l10p_6 an41 6 io_l10n_6 an42 6 io_l11p_6 am33 6 io_l11n_6 an34 6 io_l12p_6 am36 6 io_l12n_6 am37 6 io_l13p_6 am38 6 io_l13n_6 am39 6 io_l14p_6 am34 6 io_l14n_6 am35 6 io_l15p_6 an40 6 io_l15n_6/vref_6 am40 6 io_l16p_6 am41 6 io_l16n_6 am42 6 io_l17p_6 al33 6 io_l17n_6 al34 6 io_l18p_6 al35 6 io_l18n_6 al36 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 71 r product not recommen ded for new designs 6 io_l19p_6 al38 6 io_l19n_6 al39 6 io_l20p_6 al31 6 io_l20n_6 al32 6 io_l21p_6 al40 6 io_l21n_6/vref_6 al41 6 io_l22p_6 ak35 6 io_l22n_6 ak36 6 io_l23p_6 ak33 6 io_l23n_6 ak34 6 io_l24p_6 ak37 6 io_l24n_6 ak38 6 io_l25p_6 ak39 6 io_l25n_6 ak40 6 io_l26p_6 ak31 6 io_l26n_6 ak32 6 io_l27p_6 ak41 6 io_l27n_6/vref_6 ak42 6 io_l28p_6 aj35 6 io_l28n_6 aj36 6 io_l29p_6 aj33 6 io_l29n_6 aj34 6 io_l30p_6 aj37 6 io_l30n_6 aj38 6 io_l31p_6 aj41 6 io_l31n_6 aj42 6 io_l32p_6 aj31 6 io_l32n_6 aj32 6 io_l33p_6 ah33 6 io_l33n_6/vref_6 ah34 6 io_l34p_6 ah37 6 io_l34n_6 ah38 6 io_l35p_6 ah31 6 io_l35n_6 ah32 6 io_l36p_6 aj40 6 io_l36n_6 ah40 6 io_l37p_6 ah41 6 io_l37n_6 ah42 6 io_l38p_6 ah35 6 io_l38n_6 ag35 6 io_l39p_6 ag36 6 io_l39n_6/vref_6 ag37 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 72 r product not recommen ded for new designs 6 io_l40p_6 ag38 6 io_l40n_6 ag39 6 io_l41p_6 ag32 6 io_l41n_6 ag33 6 io_l42p_6 ag40 6 io_l42n_6 ag41 6 io_l43p_6 af33 6 io_l43n_6 af34 6 io_l44p_6 af35 6 io_l44n_6 af36 6 io_l45p_6 af37 6 io_l45n_6/vref_6 af38 6 io_l46p_6 af39 6 io_l46n_6 af40 6 io_l47p_6 af31 6 io_l47n_6 ag31 6 io_l48p_6 af41 6 io_l48n_6 af42 6 io_l49p_6 ae35 6 io_l49n_6 ae36 6 io_l50p_6 ae31 6 io_l50n_6 af32 6 io_l51p_6 ae38 6 io_l51n_6/vref_6 ae39 6 io_l52p_6 ae41 6 io_l52n_6 ae42 6 io_l53p_6 ae32 6 io_l53n_6 ae33 6 io_l54p_6 ad35 6 io_l54n_6 ad36 6 io_l55p_6 ad37 6 io_l55n_6 ad38 6 io_l56p_6 ad31 6 io_l56n_6 ad32 6 io_l57p_6 ad39 6 io_l57n_6/vref_6 ad40 6 io_l58p_6 ad41 6 io_l58n_6 ad42 6 io_l59p_6 ad33 6 io_l59n_6 ad34 6 io_l60p_6 ac33 6 io_l60n_6 ac34 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 73 r product not recommen ded for new designs 6 io_l85p_6 ac36 6 io_l85n_6 ac37 6 io_l86p_6 ac31 6 io_l86n_6 ac32 6 io_l87p_6 ac39 6 io_l87n_6/vref_6 ac40 6 io_l88p_6 ab33 6 io_l88n_6 ab34 6 io_l89p_6 ab36 6 io_l89n_6 ab37 6 io_l90p_6 ab39 6 io_l90n_6 ab40 7 io_l90p_7 aa39 7 io_l90n_7 aa40 7 io_l89p_7 ab31 7 io_l89n_7 aa31 7 io_l88p_7 aa36 7 io_l88n_7/vref_7 aa37 7 io_l87p_7 aa33 7 io_l87n_7 aa34 7 io_l86p_7 y31 7 io_l86n_7 y32 7 io_l85p_7 y39 7 io_l85n_7 y40 7 io_l60p_7 y36 7 io_l60n_7 y37 7 io_l59p_7 y33 7 io_l59n_7 y34 7 io_l58p_7 w41 7 io_l58n_7/vref_7 w42 7 io_l57p_7 w39 7 io_l57n_7 w40 7 io_l56p_7 w31 7 io_l56n_7 w32 7 io_l55p_7 w37 7 io_l55n_7 w38 7 io_l54p_7 w35 7 io_l54n_7 w36 7 io_l53p_7 w33 7 io_l53n_7 w34 7 io_l52p_7 v41 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 74 r product not recommen ded for new designs 7 io_l52n_7/vref_7 v42 7 io_l51p_7 v38 7 io_l51n_7 v39 7 io_l50p_7 v31 7 io_l50n_7 u32 7 io_l49p_7 v35 7 io_l49n_7 v36 7 io_l48p_7 v32 7 io_l48n_7 v33 7 io_l47p_7 u31 7 io_l47n_7 t31 7 io_l46p_7 u41 7 io_l46n_7/vref_7 u42 7 io_l45p_7 u39 7 io_l45n_7 u40 7 io_l44p_7 u33 7 io_l44n_7 u34 7 io_l43p_7 u37 7 io_l43n_7 u38 7 io_l42p_7 u35 7 io_l42n_7 u36 7 io_l41p_7 t32 7 io_l41n_7 t33 7 io_l40p_7 t40 7 io_l40n_7/vref_7 t41 7 io_l39p_7 t38 7 io_l39n_7 t39 7 io_l38p_7 r35 7 io_l38n_7 t35 7 io_l37p_7 t36 7 io_l37n_7 t37 7 io_l36p_7 r31 7 io_l36n_7 r32 7 io_l35p_7 r41 7 io_l35n_7 r42 7 io_l34p_7 r40 7 io_l34n_7/vref_7 p40 7 io_l33p_7 r37 7 io_l33n_7 r38 7 io_l32p_7 r33 7 io_l32n_7 r34 7 io_l31p_7 p41 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 75 r product not recommen ded for new designs 7 io_l31n_7 p42 7 io_l30p_7 p37 7 io_l30n_7 p38 7 io_l29p_7 p31 7 io_l29n_7 p32 7 io_l28p_7 p35 7 io_l28n_7/vref_7 p36 7 io_l27p_7 p33 7 io_l27n_7 p34 7 io_l26p_7 n31 7 io_l26n_7 n32 7 io_l25p_7 n41 7 io_l25n_7 n42 7 io_l24p_7 n39 7 io_l24n_7 n40 7 io_l23p_7 n33 7 io_l23n_7 n34 7 io_l22p_7 n37 7 io_l22n_7/vref_7 n38 7 io_l21p_7 n35 7 io_l21n_7 n36 7 io_l20p_7 m38 7 io_l20n_7 m39 7 io_l19p_7 m40 7 io_l19n_7 m41 7 io_l18p_7 m33 7 io_l18n_7 m34 7 io_l17p_7 m31 7 io_l17n_7 m32 7 io_l16p_7 m35 7 io_l16n_7/vref_7 m36 7 io_l15p_7 l41 7 io_l15n_7 l42 7 io_l14p_7 l39 7 io_l14n_7 l38 7 io_l13p_7 l40 7 io_l13n_7 k40 7 io_l12p_7 l36 7 io_l12n_7 l37 7 io_l11p_7 l34 7 io_l11n_7 l35 7 io_l10p_7 k42 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 76 r product not recommen ded for new designs 7 io_l10n_7/vref_7 k41 7 io_l09p_7 k36 7 io_l09n_7 k35 7 io_l08p_7 k38 7 io_l08n_7 k37 7 io_l07p_7 l33 7 io_l07n_7 k34 7 io_l84p_7 j41 7 io_l84n_7 j42 7 io_l83p_7 j39 7 io_l83n_7 j38 7 io_l82p_7 j36 7 io_l82n_7/vref_7 j37 7 io_l81p_7 j35 7 io_l81n_7 h36 7 io_l80p_7 h41 7 io_l80n_7 h40 7 io_l79p_7 h38 7 io_l79n_7 h39 7 io_l78p_7 h37 7 io_l78n_7 g38 7 io_l77p_7 g42 7 io_l77n_7 g41 7 io_l76p_7 g39 7 io_l76n_7/vref_7 g40 7 io_l75p_7 f41 7 io_l75n_7 f42 7 io_l74p_7 f40 7 io_l74n_7 f39 7 io_l73p_7 e41 7 io_l73n_7 e42 7 io_l06p_7 d41 7 io_l06n_7 d42 7 io_l05p_7 e40 7 io_l05n_7 d40 7 io_l04p_7 f36 7 io_l04n_7/vref_7 g37 7 io_l03p_7 d37 7 io_l03n_7 e37 7 io_l02p_7 d36 7 io_l02n_7 e36 7 io_l01p_7/vrn_7 c37 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 77 r product not recommen ded for new designs 7 io_l01n_7/vrp_7 c38 0 vcco_0 d25 0 vcco_0 g23 0 vcco_0 g28 0 vcco_0 g32 0 vcco_0 j25 0 vcco_0 j29 0 vcco_0 p22 0 vcco_0 p23 0 vcco_0 p24 0 vcco_0 p25 0 vcco_0 p26 0 vcco_0 r22 0 vcco_0 r23 0 vcco_0 r24 0 vcco_0 r25 1 vcco_1 r21 1 vcco_1 r20 1 vcco_1 r19 1 vcco_1 r18 1 vcco_1 p21 1 vcco_1 p20 1 vcco_1 p19 1 vcco_1 p18 1 vcco_1 p17 1 vcco_1 j18 1 vcco_1 j14 1 vcco_1 g20 1 vcco_1 g15 1 vcco_1 g11 1 vcco_1 d18 2 vcco_2 aa15 2 vcco_2 aa14 2 vcco_2 y15 2 vcco_2 y14 2 vcco_2 y8 2 vcco_2 y5 2 vcco_2 w15 2 vcco_2 w14 2 vcco_2 v15 2 vcco_2 v14 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 78 r product not recommen ded for new designs 2 vcco_2 v3 2 vcco_2 u15 2 vcco_2 u14 2 vcco_2 t15 2 vcco_2 t14 2 vcco_2 r14 2 vcco_2 t9 2 vcco_2 p4 2 vcco_2 m6 2 vcco_2 j3 2 vcco_2 f5 3 vcco_3 au5 3 vcco_3 ap3 3 vcco_3 al6 3 vcco_3 aj4 3 vcco_3 ah14 3 vcco_3 ag15 3 vcco_3 ag14 3 vcco_3 ag9 3 vcco_3 af15 3 vcco_3 af14 3 vcco_3 ae15 3 vcco_3 ae14 3 vcco_3 ae3 3 vcco_3 ad15 3 vcco_3 ad14 3 vcco_3 ac15 3 vcco_3 ac14 3 vcco_3 ac8 3 vcco_3 ac5 3 vcco_3 ab15 3 vcco_3 ab14 4 vcco_4 aw18 4 vcco_4 at20 4 vcco_4 at15 4 vcco_4 at11 4 vcco_4 ap18 4 vcco_4 ap14 4 vcco_4 aj21 4 vcco_4 aj20 4 vcco_4 aj19 4 vcco_4 aj18 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 79 r product not recommen ded for new designs 4 vcco_4 aj17 4 vcco_4 ah21 4 vcco_4 ah20 4 vcco_4 ah19 4 vcco_4 ah18 5 vcco_5 aw25 5 vcco_5 at32 5 vcco_5 at28 5 vcco_5 at23 5 vcco_5 ap29 5 vcco_5 ap25 5 vcco_5 aj26 5 vcco_5 aj25 5 vcco_5 aj24 5 vcco_5 aj23 5 vcco_5 aj22 5 vcco_5 ah25 5 vcco_5 ah24 5 vcco_5 ah23 5 vcco_5 ah22 6 vcco_6 au38 6 vcco_6 ap40 6 vcco_6 al37 6 vcco_6 aj39 6 vcco_6 ah29 6 vcco_6 ag34 6 vcco_6 ag29 6 vcco_6 ag28 6 vcco_6 af29 6 vcco_6 af28 6 vcco_6 ae40 6 vcco_6 ae29 6 vcco_6 ae28 6 vcco_6 ad29 6 vcco_6 ad28 6 vcco_6 ac38 6 vcco_6 ac35 6 vcco_6 ac29 6 vcco_6 ac28 6 vcco_6 ab29 6 vcco_6 ab28 7 vcco_7 aa29 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 80 r product not recommen ded for new designs 7 vcco_7 aa28 7 vcco_7 y38 7 vcco_7 y35 7 vcco_7 y29 7 vcco_7 y28 7 vcco_7 w29 7 vcco_7 w28 7 vcco_7 v40 7 vcco_7 v29 7 vcco_7 v28 7 vcco_7 u29 7 vcco_7 u28 7 vcco_7 t34 7 vcco_7 t29 7 vcco_7 t28 7 vcco_7 r29 7 vcco_7 p39 7 vcco_7 m37 7 vcco_7 j40 7 vcco_7 f38 n/a cclk ay7 n/a prog_b g35 n/a done aw8 n/a m0 av35 n/a m1 ay36 n/a m2 aw35 n/a tck g8 n/a tdi c36 n/a tdo c7 n/a tms f8 n/a pwrdwn_b av8 n/a hswap_en f35 n/a rsvd d8 n/a vbatt e8 n/a dxp e35 n/a dxn d35 n/a avccauxtx2 b40 n/a vttxpad2 b41 n/a txnpad2 a41 n/a txppad2 a40 n/a gnda2 c39 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 81 r product not recommen ded for new designs n/a rxppad2 a39 n/a rxnpad2 a38 n/a vtrxpad2 b39 n/a avccauxrx2 b38 n/a avccauxtx3 b36 n/a vttxpad3 b37 n/a txnpad3 a37 n/a txppad3 a36 n/a gnda3 c35 n/a rxppad3 a35 n/a rxnpad3 a34 n/a vtrxpad3 b35 n/a avccauxrx3 b34 n/a avccauxtx4 b32 n/a vttxpad4 b33 n/a txnpad4 a33 n/a txppad4 a32 n/a gnda4 c31 n/a rxppad4 a31 n/a rxnpad4 a30 n/a vtrxpad4 b31 n/a avccauxrx4 b30 n/a avccauxtx5 b28 n/a vttxpad5 b29 n/a txnpad5 a29 n/a txppad5 a28 n/a gnda5 c27 n/a rxppad5 a27 n/a rxnpad5 a26 n/a vtrxpad5 b27 n/a avccauxrx5 b26 n/a avccauxtx6 b24 n/a vttxpad6 b25 n/a txnpad6 a25 n/a txppad6 a24 n/a gnda6 c22 n/a rxppad6 a23 n/a rxnpad6 a22 n/a vtrxpad6 b23 n/a avccauxrx6 b22 n/a avccauxtx7 b20 n/a vttxpad7 b21 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 82 r product not recommen ded for new designs n/a txnpad7 a21 n/a txppad7 a20 n/a gnda7 c21 n/a rxppad7 a19 n/a rxnpad7 a18 n/a vtrxpad7 b19 n/a avccauxrx7 b18 n/a avccauxtx8 b16 n/a vttxpad8 b17 n/a txnpad8 a17 n/a txppad8 a16 n/a gnda8 c16 n/a rxppad8 a15 n/a rxnpad8 a14 n/a vtrxpad8 b15 n/a avccauxrx8 b14 n/a avccauxtx9 b12 n/a vttxpad9 b13 n/a txnpad9 a13 n/a txppad9 a12 n/a gnda9 c12 n/a rxppad9 a11 n/a rxnpad9 a10 n/a vtrxpad9 b11 n/a avccauxrx9 b10 n/a avccauxtx10 b8 n/a vttxpad10 b9 n/a txnpad10 a9 n/a txppad10 a8 n/a gnda10 c8 n/a rxppad10 a7 n/a rxnpad10 a6 n/a vtrxpad10 b7 n/a avccauxrx10 b6 n/a avccauxtx11 b4 n/a vttxpad11 b5 n/a txnpad11 a5 n/a txppad11 a4 n/a gnda11 c4 n/a rxppad11 a3 n/a rxnpad11 a2 n/a vtrxpad11 b3 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 83 r product not recommen ded for new designs n/a avccauxrx11 b2 n/a avccauxrx14 ba2 n/a vtrxpad14 ba3 n/a rxnpad14 bb2 n/a rxppad14 bb3 n/a gnda14 ay4 n/a txppad14 bb4 n/a txnpad14 bb5 n/a vttxpad14 ba5 n/a avccauxtx14 ba4 n/a avccauxrx15 ba6 n/a vtrxpad15 ba7 n/a rxnpad15 bb6 n/a rxppad15 bb7 n/a gnda15 ay8 n/a txppad15 bb8 n/a txnpad15 bb9 n/a vttxpad15 ba9 n/a avccauxtx15 ba8 n/a avccauxrx16 ba10 n/a vtrxpad16 ba11 n/a rxnpad16 bb10 n/a rxppad16 bb11 n/a gnda16 ay12 n/a txppad16 bb12 n/a txnpad16 bb13 n/a vttxpad16 ba13 n/a avccauxtx16 ba12 n/a avccauxrx17 ba14 n/a vtrxpad17 ba15 n/a rxnpad17 bb14 n/a rxppad17 bb15 n/a gnda17 ay16 n/a txppad17 bb16 n/a txnpad17 bb17 n/a vttxpad17 ba17 n/a avccauxtx17 ba16 n/a avccauxrx18 ba18 n/a vtrxpad18 ba19 n/a rxnpad18 bb18 n/a rxppad18 bb19 n/a gnda18 ay21 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 84 r product not recommen ded for new designs n/a txppad18 bb20 n/a txnpad18 bb21 n/a vttxpad18 ba21 n/a avccauxtx18 ba20 n/a avccauxrx19 ba22 n/a vtrxpad19 ba23 n/a rxnpad19 bb22 n/a rxppad19 bb23 n/a gnda19 ay22 n/a txppad19 bb24 n/a txnpad19 bb25 n/a vttxpad19 ba25 n/a avccauxtx19 ba24 n/a avccauxrx20 ba26 n/a vtrxpad20 ba27 n/a rxnpad20 bb26 n/a rxppad20 bb27 n/a gnda20 ay27 n/a txppad20 bb28 n/a txnpad20 bb29 n/a vttxpad20 ba29 n/a avccauxtx20 ba28 n/a avccauxrx21 ba30 n/a vtrxpad21 ba31 n/a rxnpad21 bb30 n/a rxppad21 bb31 n/a gnda21 ay31 n/a txppad21 bb32 n/a txnpad21 bb33 n/a vttxpad21 ba33 n/a avccauxtx21 ba32 n/a avccauxrx22 ba34 n/a vtrxpad22 ba35 n/a rxnpad22 bb34 n/a rxppad22 bb35 n/a gnda22 ay35 n/a txppad22 bb36 n/a txnpad22 bb37 n/a vttxpad22 ba37 n/a avccauxtx22 ba36 n/a avccauxrx23 ba38 n/a vtrxpad23 ba39 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 85 r product not recommen ded for new designs n/a rxnpad23 bb38 n/a rxppad23 bb39 n/a gnda23 ay39 n/a txppad23 bb40 n/a txnpad23 bb41 n/a vttxpad23 ba41 n/a avccauxtx23 ba40 n/a vccint ab27 n/a vccint ab16 n/a vccint ac27 n/a vccint ac16 n/a vccint ad27 n/a vccint ad16 n/a vccint ae27 n/a vccint ae16 n/a vccint af27 n/a vccint af26 n/a vccint af17 n/a vccint af16 n/a vccint ag27 n/a vccint ag26 n/a vccint ag25 n/a vccint ag24 n/a vccint ag23 n/a vccint ag22 n/a vccint ag21 n/a vccint ag20 n/a vccint ag19 n/a vccint ag18 n/a vccint ag17 n/a vccint ag16 n/a vccint ah28 n/a vccint ah27 n/a vccint ah26 n/a vccint ah17 n/a vccint ah16 n/a vccint ah15 n/a vccint aj29 n/a vccint aj28 n/a vccint aj27 n/a vccint aj16 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 86 r product not recommen ded for new designs n/a vccint aj15 n/a vccint aj14 n/a vccint ak30 n/a vccint ak13 n/a vccint aa27 n/a vccint aa16 n/a vccint y27 n/a vccint y16 n/a vccint w27 n/a vccint w16 n/a vccint v27 n/a vccint v16 n/a vccint u27 n/a vccint u26 n/a vccint u17 n/a vccint u16 n/a vccint t27 n/a vccint t26 n/a vccint t25 n/a vccint t24 n/a vccint t23 n/a vccint t22 n/a vccint t21 n/a vccint t20 n/a vccint t19 n/a vccint t18 n/a vccint t17 n/a vccint t16 n/a vccint r28 n/a vccint r27 n/a vccint r26 n/a vccint r17 n/a vccint r16 n/a vccint r15 n/a vccint p29 n/a vccint p28 n/a vccint p27 n/a vccint p16 n/a vccint p15 n/a vccint p14 n/a vccint n30 n/a vccint n13 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 87 r product not recommen ded for new designs n/a vccaux ab42 n/a vccaux ab41 n/a vccaux ab2 n/a vccaux ab1 n/a vccaux ac42 n/a vccaux ac1 n/a vccaux am32 n/a vccaux am11 n/a vccaux an33 n/a vccaux an10 n/a vccaux av39 n/a vccaux av4 n/a vccaux aw38 n/a vccaux aw22 n/a vccaux aw21 n/a vccaux aw5 n/a vccaux aa42 n/a vccaux aa41 n/a vccaux aa2 n/a vccaux aa1 n/a vccaux y42 n/a vccaux y1 n/a vccaux l32 n/a vccaux l11 n/a vccaux k33 n/a vccaux k10 n/a vccaux e39 n/a vccaux e4 n/a vccaux d38 n/a vccaux d22 n/a vccaux d21 n/a vccaux d5 n/a gnd ab38 n/a gnd ab35 n/a gnd ab32 n/a gnd ab26 n/a gnd ab25 n/a gnd ab24 n/a gnd ab23 n/a gnd ab22 n/a gnd ab21 n/a gnd ab20 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 88 r product not recommen ded for new designs n/a gnd ab19 n/a gnd ab18 n/a gnd ab17 n/a gnd ab11 n/a gnd ab8 n/a gnd ab5 n/a gnd ac41 n/a gnd ac26 n/a gnd ac25 n/a gnd ac24 n/a gnd ac23 n/a gnd ac22 n/a gnd ac21 n/a gnd ac20 n/a gnd ac19 n/a gnd ac18 n/a gnd ac17 n/a gnd ac2 n/a gnd ad26 n/a gnd ad25 n/a gnd ad24 n/a gnd ad23 n/a gnd ad22 n/a gnd ad21 n/a gnd ad20 n/a gnd ad19 n/a gnd ad18 n/a gnd ad17 n/a gnd ae37 n/a gnd ae34 n/a gnd ae26 n/a gnd ae25 n/a gnd ae24 n/a gnd ae23 n/a gnd ae22 n/a gnd ae21 n/a gnd ae20 n/a gnd ae19 n/a gnd ae18 n/a gnd ae17 n/a gnd ae9 n/a gnd ae6 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 89 r product not recommen ded for new designs n/a gnd af25 n/a gnd af24 n/a gnd af23 n/a gnd af22 n/a gnd af21 n/a gnd af20 n/a gnd af19 n/a gnd af18 n/a gnd ag42 n/a gnd ag1 n/a gnd ah39 n/a gnd ah36 n/a gnd ah7 n/a gnd ah4 n/a gnd al42 n/a gnd al1 n/a gnd am22 n/a gnd am21 n/a gnd an39 n/a gnd an4 n/a gnd ap34 n/a gnd ap9 n/a gnd ar42 n/a gnd ar35 n/a gnd ar22 n/a gnd ar21 n/a gnd ar8 n/a gnd ar1 n/a gnd at36 n/a gnd at7 n/a gnd au37 n/a gnd au25 n/a gnd au18 n/a gnd au6 n/a gnd av38 n/a gnd av22 n/a gnd av21 n/a gnd av5 n/a gnd aw39 n/a gnd aw32 n/a gnd aw28 n/a gnd aw15 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 90 r product not recommen ded for new designs n/a gnd aw11 n/a gnd aw4 n/a gnd ay42 n/a gnd ay41 n/a gnd ay40 n/a gnd ay3 n/a gnd ay2 n/a gnd ay1 n/a gnd ba42 n/a gnd ba1 n/a gnd aa38 n/a gnd aa35 n/a gnd aa32 n/a gnd aa26 n/a gnd aa25 n/a gnd aa24 n/a gnd aa23 n/a gnd aa22 n/a gnd aa21 n/a gnd aa20 n/a gnd aa19 n/a gnd aa18 n/a gnd aa17 n/a gnd aa11 n/a gnd aa8 n/a gnd aa5 n/a gnd y41 n/a gnd y26 n/a gnd y25 n/a gnd y24 n/a gnd y23 n/a gnd y22 n/a gnd y21 n/a gnd y20 n/a gnd y19 n/a gnd y18 n/a gnd y17 n/a gnd y2 n/a gnd w26 n/a gnd w25 n/a gnd w24 n/a gnd w23 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 91 r product not recommen ded for new designs n/a gnd w22 n/a gnd w21 n/a gnd w20 n/a gnd w19 n/a gnd w18 n/a gnd w17 n/a gnd v37 n/a gnd v34 n/a gnd v26 n/a gnd v25 n/a gnd v24 n/a gnd v23 n/a gnd v22 n/a gnd v21 n/a gnd v20 n/a gnd v19 n/a gnd v18 n/a gnd v17 n/a gnd v9 n/a gnd v6 n/a gnd u25 n/a gnd u24 n/a gnd u23 n/a gnd u22 n/a gnd u21 n/a gnd u20 n/a gnd u19 n/a gnd u18 n/a gnd t42 n/a gnd t1 n/a gnd r39 n/a gnd r36 n/a gnd r7 n/a gnd r4 n/a gnd m42 n/a gnd m1 n/a gnd l22 n/a gnd l21 n/a gnd k39 n/a gnd k4 n/a gnd j34 n/a gnd j9 ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 92 r product not recommen ded for new designs n/a gnd h42 n/a gnd h35 n/a gnd h22 n/a gnd h21 n/a gnd h8 n/a gnd h1 n/a gnd g36 n/a gnd g7 n/a gnd f37 n/a gnd f25 n/a gnd f18 n/a gnd f6 n/a gnd e38 n/a gnd e22 n/a gnd e21 n/a gnd e5 n/a gnd d39 n/a gnd d32 n/a gnd d28 n/a gnd d15 n/a gnd d11 n/a gnd d4 n/a gnd c42 n/a gnd c41 n/a gnd c40 n/a gnd c3 n/a gnd c2 n/a gnd c1 n/a gnd b42 n/a gnd b1 n/a gnd n14 n/a gnd n29 n/a gnd ak14 n/a gnd ak29 n/a gnd p13 n/a gnd p30 n/a gnd aj13 n/a gnd aj30 notes: 1. see table 4, page 2 for an explanation of the signals available on this pin. ta bl e 8 : ef1704, and ff1704 ? XQ2VP70 (cont?d) bank pin description pin number no connects
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 93 r product not recommen ded for new designs ef1704, and ff1704 flip-chip fine-pitch bg a package specificatio ns (1.00 mm pitch) x-ref target - figure 3 figure 3: ef1704, and ff1704 flip-chip fine-pitch bga package specifications
pinout information ds136-4 (v2.1) july 25, 2011 www.xilinx.com module 4 of 4 product specification 94 r product not recommen ded for new designs revision history this section records the change history for this module of the data sheet. qpro virtex-ii pro data sheet the qpro virtex-ii pro data sheet contains the following modules: ? "introduction and overview" (module 1) ? "functional descrip tion" (module 2) ? "dc and switching characteristics" (module 3) ? "pinout information" (module 4) notice of disclaimer the xilinx hardware fpga and cpld devices referred to herein (?products?) are subject to the terms and conditions of the xilinx limite d warranty which can be viewed at http://www.xilinx.com/warranty.htm . this limited warranty does not extend to any use of products in an application or environment that is not within the specifications stated in the xilinx data sheet. all specifications are subject to change without notice. products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance, such as life-support or safety devic es or systems, or any other application that invokes the potential risks of death, personal injury, or property or environmental damage (?critical applications?). use of products in critical applications is at the sole risk of customer, subject to applicable laws and regulations. date version revision 11/29/06 1.0 initial xilinx release. 12/20/07 2.0 ? change data sheet title. ? added support for XQ2VP70-6ef1704i. ? removed support for xqv2p70-6mf1704i. ? updated document template. ? updated urls. 07/25/11 2.1 added product not recommended for new designs banner. updated figure 1, page 22 , with the newest fg676/fgg 676 mechanical drawing.


▲Up To Search▲   

 
Price & Availability of XQ2VP70

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X